From 37914371a2ddcf8f4c8ee944067aa4cb346867be Mon Sep 17 00:00:00 2001
From: Dag Einar <debnord@hotmail.no>
Date: Thu, 14 Nov 2024 11:51:16 +0100
Subject: [PATCH] oppdatert Rx komponenter

---
 Rx.vhd                    |  39 ++--
 Rx_tb.vhd                 |  17 +-
 modelsim.ini              | 361 ++++++++++++++++++++++++++++++++++++++
 vlog.opt                  |   2 +
 vsim.wlf                  | Bin 0 -> 49152 bytes
 work/_info                | 140 +++++++++++++++
 work/_lib.qdb             | Bin 0 -> 49152 bytes
 work/_lib1_0.qdb          | Bin 0 -> 32768 bytes
 work/_lib1_0.qpg          | Bin 0 -> 147456 bytes
 work/_lib1_0.qtl          | Bin 0 -> 116903 bytes
 work/_vmake               |   4 +
 fifo.vhd => work/fifo.vhd |   0
 12 files changed, 537 insertions(+), 26 deletions(-)
 create mode 100644 modelsim.ini
 create mode 100644 vlog.opt
 create mode 100644 vsim.wlf
 create mode 100644 work/_info
 create mode 100644 work/_lib.qdb
 create mode 100644 work/_lib1_0.qdb
 create mode 100644 work/_lib1_0.qpg
 create mode 100644 work/_lib1_0.qtl
 create mode 100644 work/_vmake
 rename fifo.vhd => work/fifo.vhd (100%)

diff --git a/Rx.vhd b/Rx.vhd
index 1e6c9a6..32c668c 100644
--- a/Rx.vhd
+++ b/Rx.vhd
@@ -1,8 +1,8 @@
 library IEEE;
 use IEEE.STD_LOGIC_1164.ALL;
 
-library Files;
-use Files.fifo;
+library work;
+use work.fifo;
 
 entity Rx is
     generic(
@@ -30,7 +30,7 @@ architecture Behavioral of Rx is
     type paritets_sjekk is (ingen, partall, oddetall);
     type baud_speed is (B115k,B576,B384,B192,B096);
     --------------------------------------------------------------------------------------------------------------------------------
-    --Signaler
+    --Sigwork
     --------------------------------------------------------------------------------------------------------------------------------
     signal datalest: std_logic_vector(10 downto 0):="11111111111";
     signal datasamplet: std_logic_vector(7 downto 0):="00000000";
@@ -167,7 +167,7 @@ begin
     --------------------------------------------------------------------------------------------------------------------------------
     --component fifo
     --------------------------------------------------------------------------------------------------------------------------------
-    fifo: entity Files.fifo 
+    fifo: entity work.fifo 
         Port map(
             clock => clk,
             data => fifo_inn,
@@ -210,35 +210,40 @@ begin
     --en startbit, før den bruker parity_checker funksjonen mtp hvilken paritetssjekk man har. Hvis parity-checker returnerer true
     --sendes dataen inn i fifoen, hvis ikke så forkastes den.
     -------------------------------------------------------------------------------------------------------------------------------
-    databehandling: process(clk,rst_n) is
-        begin
-        if rst_n = '0' then
-            
-        elsif rising_edge(clk) and datalest(10) = '0' then
-            if parity_checker(parity_check,datalest) = true then
-                fifo_wr_req <= '1';
+databehandling: process(clk, rst_n)
+begin
+    if rst_n = '0' then
+        datalest(10 downto 0) <= (others => '0');
+        fifo_wr_req <= '0';  -- Nullstille fifo_wr_req under reset
+        paritetsfeil <= '0'; -- Nullstille paritetsfeil under reset
+    elsif rising_edge(clk) then
+        if datalest(10) = '0' then
+            if parity_checker(parity_check, datalest) = true then
+                fifo_wr_req <= '1';  -- Aktiver fifo_wr_req når paritetskontroll er gyldig
                 case parity_check is
                     when ingen =>
                         fifo_inn <= datalest(9 downto 2);
                         datalest(10 downto 1) <= (others => '1');
-                    when others => 
+                    when others =>
                         fifo_inn <= datalest(9 downto 2);
                         datalest(10 downto 0) <= (others => '1');
                 end case;
+                paritetsfeil <= '0';  -- Nullstill paritetsfeil
             else
-                datalest <= (others => '1'); -- Kontrollsjekk denne før innsending 
+                datalest <= (others => '1');  -- Feilhåndtering
                 paritetsfeil <= '1';
+                fifo_wr_req <= '0';  -- Deaktiver fifo_wr_req ved feil
             end if;
         else
-            fifo_wr_req <= '0';
-            --sette inn logikk
+            fifo_wr_req <= '0';  -- Deaktiver fifo_wr_req hvis datalest(10) ikke er '0'
         end if;
+    end if;
+end process;
     
-    end process;
     kommunikasjon: process(clk, rst_n) is
         begin
-            fifo_rd_req <= '0';
             if rst_n = '0' then
+            fifo_rd_req <= '0';
             elsif rising_edge(clk) then
                 case adresse is
                     when "100" =>
diff --git a/Rx_tb.vhd b/Rx_tb.vhd
index 737767e..b604658 100644
--- a/Rx_tb.vhd
+++ b/Rx_tb.vhd
@@ -7,7 +7,7 @@ entity Rx_tb is
 end Rx_tb;
 
 architecture Behavioral of Rx_tb is
-
+    constant clk_T     : time := 20 ns;
     -- Testbenkens signaler
     signal clk         : std_logic := '0';
     signal rst_n       : std_logic := '0';
@@ -40,7 +40,7 @@ architecture Behavioral of Rx_tb is
 begin
 
     -- Instansiering av Rx-enheten
-    uut: Rx
+    uut:component Rx
         port map (
             clk        => clk,
             rst_n      => rst_n,
@@ -54,12 +54,10 @@ begin
     -- Klokkeprosessen genererer et 50 MHz klokkesignal
     clk_process: process
     begin
-        while true loop
-            clk <= '0';
-            wait for 10 ns;
-            clk <= '1';
-            wait for 10 ns;
-        end loop;
+        clk <= '0';
+        wait for clk_T/2;
+        clk <= '1';
+        wait for clk_T/2;
     end process;
 
     -- Stimuli for reset og testoperasjoner
@@ -92,9 +90,10 @@ begin
         wait for 20 ns;
         Wr_sig <= '0';
 
+
         -- Flere tester kan settes opp her
         -- Slutt på simuleringen
-        wait;
+        assert false report "TB ferdig" severity failure;
     end process;
 
 end Behavioral;
diff --git a/modelsim.ini b/modelsim.ini
new file mode 100644
index 0000000..ffc6cc4
--- /dev/null
+++ b/modelsim.ini
@@ -0,0 +1,361 @@
+; Copyright 1991-2009 Mentor Graphics Corporation
+;
+; All Rights Reserved.
+;
+; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF 
+; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
+;   
+
+[Library]
+others = C:/intelFPGA/20.1/modelsim_ase/win32aloem/../modelsim.ini
+
+; Altera Primitive libraries
+;
+; VHDL Section
+;
+;
+; Verilog Section
+;
+
+[vcom]
+; VHDL93 variable selects language version as the default. 
+; Default is VHDL-2002.
+; Value of 0 or 1987 for VHDL-1987.
+; Value of 1 or 1993 for VHDL-1993.
+; Default or value of 2 or 2002 for VHDL-2002.
+; Default or value of 3 or 2008 for VHDL-2008.
+VHDL93 = 2008
+
+; Show source line containing error. Default is off.
+; Show_source = 1
+
+; Turn off unbound-component warnings. Default is on.
+; Show_Warning1 = 0
+
+; Turn off process-without-a-wait-statement warnings. Default is on.
+; Show_Warning2 = 0
+
+; Turn off null-range warnings. Default is on.
+; Show_Warning3 = 0
+
+; Turn off no-space-in-time-literal warnings. Default is on.
+; Show_Warning4 = 0
+
+; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
+; Show_Warning5 = 0
+
+; Turn off optimization for IEEE std_logic_1164 package. Default is on.
+; Optimize_1164 = 0
+
+; Turn on resolving of ambiguous function overloading in favor of the
+; "explicit" function declaration (not the one automatically created by
+; the compiler for each type declaration). Default is off.
+; The .ini file has Explicit enabled so that std_logic_signed/unsigned
+; will match the behavior of synthesis tools.
+Explicit = 1
+
+; Turn off acceleration of the VITAL packages. Default is to accelerate.
+; NoVital = 1
+
+; Turn off VITAL compliance checking. Default is checking on.
+; NoVitalCheck = 1
+
+; Ignore VITAL compliance checking errors. Default is to not ignore.
+; IgnoreVitalErrors = 1
+
+; Turn off VITAL compliance checking warnings. Default is to show warnings.
+; Show_VitalChecksWarnings = 0
+
+; Keep silent about case statement static warnings.
+; Default is to give a warning.
+; NoCaseStaticError = 1
+
+; Keep silent about warnings caused by aggregates that are not locally static.
+; Default is to give a warning.
+; NoOthersStaticError = 1
+
+; Turn off inclusion of debugging info within design units.
+; Default is to include debugging info.
+; NoDebug = 1
+
+; Turn off "Loading..." messages. Default is messages on.
+; Quiet = 1
+
+; Turn on some limited synthesis rule compliance checking. Checks only:
+;    -- signals used (read) by a process must be in the sensitivity list
+; CheckSynthesis = 1
+
+; Activate optimizations on expressions that do not involve signals,
+; waits, or function/procedure/task invocations. Default is off.
+; ScalarOpts = 1
+
+; Require the user to specify a configuration for all bindings,
+; and do not generate a compile time default binding for the
+; component. This will result in an elaboration error of
+; 'component not bound' if the user fails to do so. Avoids the rare
+; issue of a false dependency upon the unused default binding.
+; RequireConfigForAllDefaultBinding = 1
+
+; Inhibit range checking on subscripts of arrays. Range checking on
+; scalars defined with subtypes is inhibited by default.
+; NoIndexCheck = 1
+
+; Inhibit range checks on all (implicit and explicit) assignments to
+; scalar objects defined with subtypes.
+; NoRangeCheck = 1
+
+NoDebug = 0
+CheckSynthesis = 0
+NoVitalCheck = 0
+Optimize_1164 = 1
+NoVital = 0
+Quiet = 0
+Show_source = 0
+DisableOpt = 0
+ZeroIn = 0
+CoverageNoSub = 0
+NoCoverage = 1
+CoverCells = 0
+CoverExcludeDefault = 0
+CoverFEC = 1
+CoverShortCircuit = 1
+CoverOpt = 3
+Show_Warning1 = 1
+Show_Warning2 = 1
+Show_Warning3 = 1
+Show_Warning4 = 1
+Show_Warning5 = 1
+[vlog]
+
+; Turn off inclusion of debugging info within design units.
+; Default is to include debugging info.
+; NoDebug = 1
+
+; Turn off "loading..." messages. Default is messages on.
+; Quiet = 1
+
+; Turn on Verilog hazard checking (order-dependent accessing of global vars).
+; Default is off.
+; Hazard = 1
+
+; Turn on converting regular Verilog identifiers to uppercase. Allows case
+; insensitivity for module names. Default is no conversion.
+; UpCase = 1
+
+; Turn on incremental compilation of modules. Default is off.
+; Incremental = 1
+
+; Turns on lint-style checking.
+; Show_Lint = 1
+
+vlog95compat = 0
+Vlog01Compat = 0
+Svlog = 0
+CoverCells = 0
+CoverExcludeDefault = 0
+CoverFEC = 1
+CoverShortCircuit = 1
+CoverOpt = 3
+OptionFile = C:/Users/debno/OneDrive/Dokumenter/skole/NTNU/IELEG2213 Programerbare kretser/bigSysytem/progkrets/vlog.opt
+Quiet = 0
+Show_source = 0
+NoDebug = 0
+Hazard = 0
+UpCase = 0
+DisableOpt = 0
+ZeroIn = 0
+[vsim]
+; Simulator resolution
+; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
+Resolution = ps
+
+; User time unit for run commands
+; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
+; unit specified for Resolution. For example, if Resolution is 100ps,
+; then UserTimeUnit defaults to ps.
+; Should generally be set to default.
+UserTimeUnit = default
+
+; Default run length
+RunLength = 100
+
+; Maximum iterations that can be run without advancing simulation time
+IterationLimit = 5000
+
+; Directive to license manager:
+; vhdl          Immediately reserve a VHDL license
+; vlog          Immediately reserve a Verilog license
+; plus          Immediately reserve a VHDL and Verilog license
+; nomgc         Do not look for Mentor Graphics Licenses
+; nomti         Do not look for Model Technology Licenses
+; noqueue       Do not wait in the license queue when a license isn't available
+; viewsim	Try for viewer license but accept simulator license(s) instead
+;		of queuing for viewer license
+; License = plus
+
+; Stop the simulator after a VHDL/Verilog assertion message
+; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
+BreakOnAssertion = 3
+
+; Assertion Message Format
+; %S - Severity Level 
+; %R - Report Message
+; %T - Time of assertion
+; %D - Delta
+; %I - Instance or Region pathname (if available)
+; %% - print '%' character
+; AssertionFormat = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
+
+; Assertion File - alternate file for storing VHDL/Verilog assertion messages
+; AssertFile = assert.log
+
+; Default radix for all windows and commands...
+; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
+DefaultRadix = symbolic
+
+; VSIM Startup command
+; Startup = do startup.do
+
+; File for saving command transcript
+TranscriptFile = transcript
+
+; File for saving command history
+; CommandHistory = cmdhist.log
+
+; Specify whether paths in simulator commands should be described
+; in VHDL or Verilog format.
+; For VHDL, PathSeparator = /
+; For Verilog, PathSeparator = .
+; Must not be the same character as DatasetSeparator.
+PathSeparator = /
+
+; Specify the dataset separator for fully rooted contexts.
+; The default is ':'. For example, sim:/top
+; Must not be the same character as PathSeparator.
+DatasetSeparator = :
+
+; Disable VHDL assertion messages
+; IgnoreNote = 1
+; IgnoreWarning = 1
+; IgnoreError = 1
+; IgnoreFailure = 1
+
+; Default force kind. May be freeze, drive, deposit, or default
+; or in other terms, fixed, wired, or charged.
+; A value of "default" will use the signal kind to determine the
+; force kind, drive for resolved signals, freeze for unresolved signals
+; DefaultForceKind = freeze
+
+; If zero, open files when elaborated; otherwise, open files on
+; first read or write.  Default is 0.
+; DelayFileOpen = 1
+
+; Control VHDL files opened for write.
+;   0 = Buffered, 1 = Unbuffered
+UnbufferedOutput = 0
+
+; Control the number of VHDL files open concurrently.
+; This number should always be less than the current ulimit
+; setting for max file descriptors.
+;   0 = unlimited
+ConcurrentFileLimit = 40
+
+; Control the number of hierarchical regions displayed as
+; part of a signal name shown in the Wave window.
+; A value of zero tells VSIM to display the full name.
+; The default is 0.
+; WaveSignalNameWidth = 0
+
+; Turn off warnings from the std_logic_arith, std_logic_unsigned
+; and std_logic_signed packages.
+; StdArithNoWarnings = 1
+
+; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
+; NumericStdNoWarnings = 1
+
+; Control the format of the (VHDL) FOR generate statement label
+; for each iteration.  Do not quote it.
+; The format string here must contain the conversion codes %s and %d,
+; in that order, and no other conversion codes.  The %s represents
+; the generate_label; the %d represents the generate parameter value
+; at a particular generate iteration (this is the position number if
+; the generate parameter is of an enumeration type).  Embedded whitespace
+; is allowed (but discouraged); leading and trailing whitespace is ignored.
+; Application of the format must result in a unique scope name over all
+; such names in the design so that name lookup can function properly.
+; GenerateFormat = %s__%d
+
+; Specify whether checkpoint files should be compressed.
+; The default is 1 (compressed).
+; CheckpointCompressMode = 0
+
+; List of dynamically loaded objects for Verilog PLI applications
+; Veriuser = veriuser.sl
+
+; Specify default options for the restart command. Options can be one
+; or more of: -force -nobreakpoint -nolist -nolog -nowave
+; DefaultRestartOptions = -force
+
+; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs
+; (> 500 megabyte memory footprint). Default is disabled.
+; Specify number of megabytes to lock.
+; LockedMemory = 1000
+
+; Turn on (1) or off (0) WLF file compression.
+; The default is 1 (compress WLF file).
+; WLFCompress = 0
+
+; Specify whether to save all design hierarchy (1) in the WLF file
+; or only regions containing logged signals (0).
+; The default is 0 (save only regions with logged signals).
+; WLFSaveAllRegions = 1
+
+; WLF file time limit.  Limit WLF file by time, as closely as possible,
+; to the specified amount of simulation time.  When the limit is exceeded
+; the earliest times get truncated from the file.
+; If both time and size limits are specified the most restrictive is used.
+; UserTimeUnits are used if time units are not specified.
+; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
+; WLFTimeLimit = 0
+
+; WLF file size limit.  Limit WLF file size, as closely as possible,
+; to the specified number of megabytes.  If both time and size limits
+; are specified then the most restrictive is used.
+; The default is 0 (no limit).
+; WLFSizeLimit = 1000
+
+; Specify whether or not a WLF file should be deleted when the
+; simulation ends.  A value of 1 will cause the WLF file to be deleted.
+; The default is 0 (do not delete WLF file when simulation ends).
+; WLFDeleteOnQuit = 1
+
+; Automatic SDF compilation
+; Disables automatic compilation of SDF files in flows that support it.
+; Default is on, uncomment to turn off.
+; NoAutoSDFCompile = 1
+
+VoptFlow = 1
+[lmc]
+
+[msg_system]
+; Change a message severity or suppress a message.
+; The format is: <msg directive> = <msg number>[,<msg number>...]
+; Examples:
+;   note = 3009
+;   warning = 3033
+;   error = 3010,3016
+;   fatal = 3016,3033
+;   suppress = 3009,3016,3043
+; The command verror <msg number> can be used to get the complete
+; description of a message.
+
+; Control transcripting of elaboration/runtime messages.
+; The default is to have messages appear in the transcript and 
+; recorded in the wlf file (messages that are recorded in the
+; wlf file can be viewed in the MsgViewer).  The other settings
+; are to send messages only to the transcript or only to the 
+; wlf file.  The valid values are
+;    both  {default}
+;    tran  {transcript only}
+;    wlf   {wlf file only}
+; msgmode = both
diff --git a/vlog.opt b/vlog.opt
new file mode 100644
index 0000000..139597f
--- /dev/null
+++ b/vlog.opt
@@ -0,0 +1,2 @@
+
+
diff --git a/vsim.wlf b/vsim.wlf
new file mode 100644
index 0000000000000000000000000000000000000000..f8135986d2cf686551360b6adbad6c6e0573d1d2
GIT binary patch
literal 49152
zcmWeAV_3sr#K6G7%m4x`yFP#@1_lNWC|v=UV_*<wV9<zRO-W74%V%?ObPo=2hAIM6
z>89Hg*^CT~4D<|H8JPcfFfxNk1_lL?EW~&aYZQ-$z-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2v9o&{{Ls_V_*PdCI$uub{A;|W(ENkb{7Rkentk7NsP=)+>DA2jO+{ytcorUtcpww
zs!EK^jEv4MOl<6m2`q}<jP6W|9n2i;iVf_ki~h4Qa4<8@U}f}RU@~CTV(2$nz!Jjh
z$-u+9fLUIEW!DGBQ*4u%7!6r{SX7s=X|g4I{AUPa^b}%pWVB^3Ww-sp!B)Ldrh#GA
zs#U8%4x+YyN6i}zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!8486aw(6_5c4_7cnq^Fat=8iNThE
zwX8TZH=Dthk%57M)s~6D*x4#3Gp{6-A;&Gi-7&_<fI-hNCO1DNHHVQwIX<yCHHM))
zGtby4F(;oRH8+OITrZWOBGs0ORkt9Yp{T@`iPOr;kip8-(9p=xmWhF1x40xFzqF(w
zEi)%IFEN)vl|k3pN<XxiA+@MjA9T0?L%zOaL4iwR2}7d3PkwS@jy^+3YHopkSg=1s
zXo#tSfkB>uf-N%#qdvnF0R{$cWk$AaRyJGzyi^94qRg^XeV2TO?9$xSypq%+2L0mf
z{G3#MKZX#$P<>BVA6Eu<BO^m&g@7WC{PZG*hN2{f#G+J%?4r~XMuuknq|EeShRWi~
zlGI%N0!{`6CNcfAhRn2ly|RoH#{3B!ER4KN4FQblY}KOW9Qj4rOhwGaDwTN*4V)7?
znQ9q&tXMcYqFDu4SrnK!82|lYs7PT}4^?MYSBhX@Heg^@GGt(nV&GN^XW;i?U}o}Q
zVAoaWVpT}a$xqH^ap`1oW=qv$DRN;FU}H#kVPeQ;XUuo`&nWqyfuV`1hKnVIW3viN
zJEM~j6Ppv~S`J1QO9n31q7;py)It^wB}TSv1BNI;#tJURb6g8pij#ASSk#pbS=5`j
znbcX!i&z6@8L?=1@Gxr#cxIGTvIKTAo@U}VW~t=hWv)ysEy>AYQFk$6QRib?sKHps
z;-kdG=3~krBgpukpGk$2p|~_DzC5!i)syiqqZ!X)X9flvmOvG8ra(5GC_|QIESm%v
z7;IT|BqlNna<N4+K2u?hWV@uoV8>v_*rp`OXvZn39m1F?w!Sr}LQYeGsi=Z!*H<}p
zPKE-mB!<+C#Inr%qC`*5gMz-Ir(C3X+?MkCE#P8K&Jp>dF2Iv6q{yfxu(4RBBwn(L
zH(5}8qae=&rA8(V=Aa4}5kVn#H6czGHO>|WE+!7eyYWe-#l9l8%&b#Ygc&#lZJAUU
z1-RIPQZB?7XQs1+3W~6V>MUf|3@>8UR2F5?oTSS*SMmsB?h>Itaz_N%6D8&pixj7d
zTxXuB#wEs(&uHPID8#_%s=~q`Ahp_6jf+E(Ye7zGafyta3Zu5PuBTw9<W8YS99-Ot
z+Qo?%atm@&S@b4}ALC?~^mUQcU|_5hQk>7w$<)*(Q^Uf=WiZ_#C$%V^_nbG2I>Qzf
zRfZjk;geh#4H*w|GN~|fD;;-axXQxC%i<Ko+$nRdiB*e%L5<;`i{e~Y&b&#QDy+83
zFBw=f^U_oE7}yIEi%Jr6auhi7Q&LhHIas)O7z8s*Dg)w^Gg5VvnpmqD7}QxJJlt3#
z6m66^FSBU1GO#)s8k%OaI5C)-o3S_<TbMAgI2l?Ru{aqpSekKhCMA}pT!=49EaBPE
z#A?gHpuw`S!(B*$!|>>W_{=<!ldkHznjCkO6t{Q?`EW9Cu@Fp4^~%)cWRz86V%B1b
z77AdF=K7eg%KK68nhS$Ai*_Kh_9aVSy~Igu;euxjnLC1nuCQBHUWhLhk@OZkD=5hl
z%&t|EpPR|DP&d_6aFb!Am4*u!H)j#+T2;e!f`+XzOpV+VnHq~YQcbr^<Kr;hq7loY
zr%_%MZ#K!3&s^}U;bWCJPEW0=Axt@XQ`zH7k{tDBuohJ?@C9)d>&>3eT)ahpo^7)S
zrwEhamLMTs{dtqblr7IWs&~Y5Eo9|5u|;>Dn2(C(ImduY2}~M#^CpQETb^|+_ef+?
z)0wBvx4+Z!ieg_9lXi&CJRvqsQ<tnvW=;Kho@|<?u0}mqxOAE)v4t3VYBskx&Ew>Z
z<9fkildWGHW7@k#ApC!qqU7oq0$~qawaf*=@2Dy%R|$mO2vjN)2*1LqWGleBH|#=^
z=mh~5y)2=yFM(%*Rx;fRJF!GNCgezWzR;_ZkRulhSaqtxjx<JPa&mIYG%$pQhK7m`
zqe^JhE2AMW8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0#peB(7Zlqt{-gJ|Njiq3=Cil5@U4XXJBJkz{2Ik#LoJkg&~Pi
z9y;;F3RXT!jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-R~zjt~IN^Mml<aLuSIMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E2C2?1sX1_sa>0ARiU|1%t8U;ty#ngCW783q;xUPgvjIxI|b
z%sN$!Y)mVdbQoEfCg?IUUSejw!hZ1*vm@INZMM{v3{!bEL|OY~9T*i>Gbu2#F!BCi
zQc(EMEX$<8z;4SbuTbU2YOBD>9HuV7kl@6^!p^|N%rC;g&acqG!>qu_tnh`!fa5=#
zh62w;7UM6h)}AcEEb{US0^H0BER5HGuVlE-!sNod_%C~t3pdNQuPjOP*(XIYzH?z>
z_{hW&>++jN<r}ArhmHWpb`gDj0hcwQQVk5NR;^ma-~#sAC@~rWqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
W0;3@?8Un*P1VAVGgXa3degpuOl;n^A

literal 0
HcmV?d00001

diff --git a/work/_info b/work/_info
new file mode 100644
index 0000000..73702cc
--- /dev/null
+++ b/work/_info
@@ -0,0 +1,140 @@
+m255
+K4
+z2
+!s11e vcom 2020.1 2020.02, Feb 28 2020
+13
+!s112 1.1
+!i10d 8192
+!i10e 25
+!i10f 100
+cModel Technology
+Z0 dC:/Users/debno/OneDrive/Dokumenter/skole/NTNU/IELEG2213 Programerbare kretser/bigSysytem/progkrets
+Efifo
+Z1 w1730990335
+Z2 DPx3 std 6 textio 0 22 zE1`LPoLg^DX3Oz^4Fj1K3
+Z3 DPx4 ieee 14 std_logic_1164 0 22 cVAk:aDinOX8^VGI1ekP<3
+!i122 6
+R0
+Z4 8C:/Users/debno/OneDrive/Dokumenter/skole/NTNU/IELEG2213 Programerbare kretser/bigSysytem/progkrets/work/fifo.vhd
+Z5 FC:/Users/debno/OneDrive/Dokumenter/skole/NTNU/IELEG2213 Programerbare kretser/bigSysytem/progkrets/work/fifo.vhd
+l0
+L43 1
+V;E7lX:2NM9@3@1[lColfE3
+!s100 S:gEU>;VajU]SGE3XIll11
+Z6 OV;C;2020.1;71
+33
+Z7 !s110 1731574234
+!i10b 1
+Z8 !s108 1731574234.000000
+Z9 !s90 -reportprogress|300|-work|work|C:/Users/debno/OneDrive/Dokumenter/skole/NTNU/IELEG2213 Programerbare kretser/bigSysytem/progkrets/work/fifo.vhd|
+!s107 C:/Users/debno/OneDrive/Dokumenter/skole/NTNU/IELEG2213 Programerbare kretser/bigSysytem/progkrets/work/fifo.vhd|
+!i113 1
+Z10 o-work work
+Z11 tExplicit 1 NoCoverage 1 CvgOpt 0
+Asyn
+R2
+R3
+DEx4 work 4 fifo 0 22 ;E7lX:2NM9@3@1[lColfE3
+!i122 6
+l91
+Z12 L58 65
+VoXdJ@E=[B[=0TR74QBeQC2
+Z13 !s100 lEaaYhf1UD=blEjK7:L`W3
+R6
+33
+R7
+!i10b 1
+R8
+R9
+Z14 !s107 C:/Users/debno/OneDrive/Dokumenter/skole/NTNU/IELEG2213 Programerbare kretser/bigSysytem/progkrets/work/fifo.vhd|
+!i113 1
+R10
+R11
+Erx
+Z15 w1731573081
+Z16 DEx4 work 4 fifo 0 22 zhK<3oGOh^H<HbIhP4j@73
+R2
+R3
+!i122 5
+R0
+Z17 8C:/Users/debno/OneDrive/Dokumenter/skole/NTNU/IELEG2213 Programerbare kretser/bigSysytem/progkrets/Rx.vhd
+Z18 FC:/Users/debno/OneDrive/Dokumenter/skole/NTNU/IELEG2213 Programerbare kretser/bigSysytem/progkrets/Rx.vhd
+l0
+L7 1
+V]OL_2:_X8HRc4e9E^ZOJV2
+!s100 2UAUgjA;Sf]iZ=1E2BE<72
+R6
+33
+Z19 !s110 1731574227
+!i10b 1
+Z20 !s108 1731574227.000000
+Z21 !s90 -reportprogress|300|-work|work|C:/Users/debno/OneDrive/Dokumenter/skole/NTNU/IELEG2213 Programerbare kretser/bigSysytem/progkrets/Rx.vhd|C:/Users/debno/OneDrive/Dokumenter/skole/NTNU/IELEG2213 Programerbare kretser/bigSysytem/progkrets/Rx_tb.vhd|
+Z22 !s107 C:/Users/debno/OneDrive/Dokumenter/skole/NTNU/IELEG2213 Programerbare kretser/bigSysytem/progkrets/Rx_tb.vhd|C:/Users/debno/OneDrive/Dokumenter/skole/NTNU/IELEG2213 Programerbare kretser/bigSysytem/progkrets/Rx.vhd|
+!i113 1
+R10
+R11
+Abehavioral
+R16
+R2
+R3
+DEx4 work 2 rx 0 22 ]OL_2:_X8HRc4e9E^ZOJV2
+!i122 5
+l165
+L26 245
+VohYRe8c=S<UR>Abg3B@nK0
+!s100 ]>CB<`fN1IMJa5oLB=1Gl2
+R6
+33
+R19
+!i10b 1
+R20
+R21
+R22
+!i113 1
+R10
+R11
+Erx_tb
+Z23 w1731574058
+Z24 DPx4 ieee 18 std_logic_unsigned 0 22 ;eZjO2D4ZDz<]0>8AL<ne1
+Z25 DPx4 ieee 15 std_logic_arith 0 22 [G314=:2zXJ`VORJe1J@Z1
+R2
+R3
+!i122 5
+R0
+Z26 8C:/Users/debno/OneDrive/Dokumenter/skole/NTNU/IELEG2213 Programerbare kretser/bigSysytem/progkrets/Rx_tb.vhd
+Z27 FC:/Users/debno/OneDrive/Dokumenter/skole/NTNU/IELEG2213 Programerbare kretser/bigSysytem/progkrets/Rx_tb.vhd
+l0
+L6 1
+V2T]k5<c`EMj5@0NJVDV<U3
+!s100 TZ`32BT<6Ukf6P]6>n[[>3
+R6
+33
+R19
+!i10b 1
+R20
+R21
+R22
+!i113 1
+R10
+R11
+Abehavioral
+R24
+R25
+R2
+R3
+DEx4 work 5 rx_tb 0 22 2T]k5<c`EMj5@0NJVDV<U3
+!i122 5
+l40
+L9 91
+VB`zgZW]PFa6:0<7<n5@bS3
+!s100 @]<R@0XJ3]indMmza@R>42
+R6
+33
+R19
+!i10b 1
+R20
+R21
+R22
+!i113 1
+R10
+R11
diff --git a/work/_lib.qdb b/work/_lib.qdb
new file mode 100644
index 0000000000000000000000000000000000000000..e6680761b3238cc65b1042c3406476d799de12f3
GIT binary patch
literal 49152
zcmeaxPf5)w&dgOvNlI5RRA5kGU}R))P*7lCVBlw9U|?fF044?o1{MUDff0#~i^<QR
zXTiZM|AT>5&XPesUH-hBrM!teF*<RXI4V9G0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsK-~~%bY*52
zmzQU3iY!S?%1O;l%*;#6%t<YVGgzF1TpdGP6+#@Hd|Vaa!U`IRr4^Ye3Z8x;uI{cu
zItn0N$o!Pjyu{p8g%H<>5FG_1W^ra!DneIDW-byhEhjO(7$F(z=NTC43b6=gfu@cE
zR2X6b#B5DXLsm9%S9wN;%)FG;isHhY%#zgj#L|*{Fh3sd?06$Mlg*HYI4y>7Ev#vb
z?Bb%LjE(w`z)CGi%uOvxWI_$1)RII6jqKD)sNc&Hb4pVU5xxTRjSzgOcd}C}brisI
zhMJn{Ol;!TqBwn%T9O!V2(p=(fq{VolpuH+7#P^)OBv)p%RiPsA-`IFwtR<tDM)4%
zkA}c#2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S2I4FN$>7FABhg2eRH_>|O~)RNR<TR{=HP-b3n
zYEemXC?6|}A_qfyYF=tlVo7Fxo-_-KB_l&gMp0^UMt)98JhJ{2NoE#PMuvjaqU6-P
z5)>&(J|-4fCI*md@Dd6JZblYqPR_E_qT<Z_JTCD3e+7g59Qg|QF8L?&C*{}3f5Gee
zQOVH|7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC70cZ#a!l(P8D*!|hBG3f@BK$0h9E^zhe^wb5
zOGZW<^ZzVTET)W%Sm*zl`B`L{z^e@)^Z!iTNb~=^a>)!rvOa9G#d1z^^0I$qePnOS
zZk4|yzfFFEe3rb6yrSGc+39i*<POO#k!yxJev}#wfzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S^vY0<u#W
zlo-VonOK;N<4aO=3(9ix(+iVxv(1Z{&ZnF1yv4|^q|L#}D5%NI!lYePk(8Q|SeBVz
zl$fJeP@Ky!&mi4&=VeA_d1ZNdb&xVuCKe|3qKf!}qRiaHqDsA#q+;foU^U!wdl_U*
zIXD?brI}cmOw%&c@{23;KnmCdp%&?Ka59R6OwcW=h%ZS(HiYpY#1KUtkS&@_EKEA6
zjwvc<P5>)mR@8zi(Lzy@l2{_L7OaF@Q4^*_6IDr4F<%EniM$3#3CJ-T$lggTVcG>z
zz`(%Z2=NOK%r6B+<&5d+rdy;LnHd=5EkV9fWMpBogamAIey*iSxp7H}8pOLY7O=pu
zKr>+HEk<S;bC|3-T(&sZKmx3nS;h<|YX*}|Ni1P~0G8!eGy%zi+-?GrMTBEw3F8;2
z5@VPWV^k$c@OW1-0(k%w)JEXwK#uyNaz;yVFfgka!c-Yzs4C7i+W=K%08?dvt|}$5
zggp+did#h=rb-_}RZ=l~H$;_^9!M3)cY0t|$lhak2~oo(zm7pjzKlWsiTqvpnexl!
zC&_oqFOYAMuaz&8|0(}T{<Zuy`SbE8<PXa4lHWX}Gw`V6M?+vV1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONfXX4j#=^<SXkY-Q^!34%o*tOe(E(H1+F(jk6HMvqf+;O61_lNmMljpb5=>cG
zfGKlxFlA;2rc6!2l!*zL($D}?>gr(1*ceP18G$K7LoRs+1|hi&M)^qje0g(u4|!2}
zHM#3@ujN+D9h9q=n=1cOE<=91`~~?|`FZm5s2mKV#*K!+Xb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-R~z
zxeyRz5m#kI+l8J~tSibQsL6<OvOqymxegZ5;#_S^(UimzEi9r*#hSt_f?(_5rz<3u
zXkdsX6{~~7QIrww*a1rc7Ex(N&~AUwxeEnF<rb&{#kuAv0x5|lW~c&5#ipVxqN-ps
wkayjiU=d9!HkM!!2Zcg>NfPSO0Y=y*igOLIN~9!~7+{x3D%Qsq0f{Ag0M?v(tpET3

literal 0
HcmV?d00001

diff --git a/work/_lib1_0.qdb b/work/_lib1_0.qdb
new file mode 100644
index 0000000000000000000000000000000000000000..2a398f2c78672c824d583de64cc1c38a67fcab3d
GIT binary patch
literal 32768
zcmeaxPf5)w&dgOvNlI5RRA5kGU}R))P*7lCVBlh4U|?ZD046j(BSH!%i;F?ef`eCn
zCIhqFBL?|}a*yOQaH<`Z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC70X!kl$jrnpE-TB}7+I2-
zl#`lRT9K5KpPUV&nVo}N9Yb6dLL8lZToquV3L0sdIjNZ`3Z8x;uI{cuIts<9g-D#t
zs#F9oKP{~|wFJQr_45o2b%mM$)~Ttf&&($7EX&A{nU|7UQCyglS&|x`SXz<~=EuXV
zjW>j`KojpAAYa8YvWrVgGd2psTn}<sVrd14VnTO2ND$rW3IRc$zK%hW3f``fItr;3
zsksFud5O8H3L&l$A)37MM;L_U7c$5{mwzO`Rerzx2Km+UyX2S2FO>f+|4sg*{2TeZ
z@;Br!%b$}!DSrgypiw*;0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AwY5nFzYijFfi+Z2ptfi
z4I(r_gf58CVg{SY%D`*^;+lg9GZ0}4B1}Mp28d7x5yl|G2t*iy2m@vY241-b3=(oX
zndL9YAC|i;zfC?;K0w}0-bP+eUQu2|o?Y&j+*`RP@}K3O$sLp1DZfm9ru+o?X8AJt
zEU>+!#ApbNhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2v8OR+^(#Q98An6j9(a6%5uAaImS%q8JGES
zn}Ru-d>xDyyz)s5EbNY~j2s+{hJxG<U>O7UIL3B%ZhJ6CpS_#0zJ}Wl%+X_b$yhg;
z+ZN2xWjx4ObBWsq%+X;^V5}_Sv1VmtWMbB4kuzY7cH*#NWn|=F)Mggou!OLf_}ML3
z896x^wfWf1!Io<C%HL%W;b3Co;qqo><X~jBRAW5R$n6C-)Pgac@wgPXCo3Z-6SKL1
z1mkulb`P*Nb0Kbbuo5%Y2aFq)xZS`UQ#L`y)q)HR4BTd5zLv;ZMz^WlCSZ;R(=JBS
zU~XeDN1b^lqv0+F1_n+JCT2B8ZfCHl5u+vJQc-RvuziMN8yNdix#SlxNXUCK$lsN}
zCf_YTQ@%yMR=!j|S3XrfPJWVnxO{-Tr~FU(Px7zjpU9t=KOuimewX}a`8D!ODT}^Q
z-J>Be8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*AuuRIfQ^NbgVDeNOzGQ$DLp$drDMa+!pO*|&0-Cq
znXMo+lO-DqBPXM_1z44~IhfKk1yj1VU`or3fq{XC5zMyq22&PZU|r^(V4k@Lm@;z*
hQ>JcU%ET2+X_$a1bz?AP>;k5YoWa@+oxnUpM*!(7*fIbB

literal 0
HcmV?d00001

diff --git a/work/_lib1_0.qpg b/work/_lib1_0.qpg
new file mode 100644
index 0000000000000000000000000000000000000000..95c8ea413bc82e289de33f0457767f6fb5b05f15
GIT binary patch
literal 147456
zcmXqEad%)~U}s`rU|?WmU}th;U|?W^@|mGD3zTMMU}q9%U|?WlU}v&rU|?WpU}utN
zU|`^2U}sWdU|`^6U}tJ)U|`^4U}sv!z`(!_)h7?-^DwY8tzuwc;ALQE+Rnhhz{kMO
zbeD;NfuDh$=>rP`g8&0NlOQVtgCLX^Vqj-_$I8GU%)rjX%D})N#=y?R!oa{F4y7fa
z=1VfLGchtSFeoyxGvzWcFepLwi9qG07}%LA=B9c|Gq5wIgqv-bVPI#9)|ikk%fQYQ
z^`!cr97vpvfkBjkok<xK5DZMr<@rU~EDUTc4EaUbj0|inMHTTSAbt`<d<hds92{P3
zEQz^EK_GGCNMAP)?e7$12BKY)&B8#mu}w-8h|V`+h+<}7V{wI;&#D8VnKM&UQ$g|!
zsi~<Tdx}d^;z9hJ{Pau^og8my2%^nQ7z{!BLE1p-lEWOq?z2jC0jtaNkFWr#iwkr2
z1kr}6*#RKh#+V@hq%Q!Zk%5U7=D*^S6b6udNoobyUzzy~B_MSmWgzpbTn!UI@;(9i
zJ|H?h&Lsjw8~azqfoK!AEU>%0jUn!WnXd~nfPsk!e<c=y%*iat0J{UE4P<Y$yD`{Y
z6I&}IkbG5y7uX$P{y|<KeyX9D1Bi|?WN-kv7iO;k$N-}3EzJYzFV0K{)2S&8c_4E@
z+ClbPr$%Lg<o%6YOh9y$OO*|Xjy13Yhp(fL4Md+IL?6t436KF4*bhp-3=B+cqC}g6
z8h+pm%)rFP4b_h;ewiWiY$6bvh<HLxf1vyaawjOCF)%POJ6q|8g2JabwWt_G>!+k9
zf#|$^eSa{W>H>-9vQ&K#-z7i06h!By=7G~)YLPxzUv_>DI3MWyh4_KwL-jpfL9~yn
zJ2)K}85%2q_yI-v=^(l&F&C`9C<zh{sS4R(ds0h^A>#T;;CzuDTnRS6vLrPZq))$~
zC?7<pXBUChl@#lP^KV6b3E16wWf>_Td4{r#6i|8q2NMI+ei;4j|Ns9C49q-VAT;Aw
z2+i{mLNoq@(A-dU+@GQRPf!}Fp8Ero{~k)egVJxI^cyJs8cM%{(tn|J3@ZbJ0<?T%
zVPNGj0Xq;ubArn!Rt}IbBLjB&&wpVK9wr6`kpASHY*0SrfW$8=hYt&=9zf6_b3p1q
zG*}-4L|+911H<qC9C+;k*-xx{c%kkoDlP$)gB*DbCGkuQY#eMLiy08^fw>PPjO;F6
zsJoU>*<E~4cLi0rfWrx9Ka56q2OrcOSE%d`eyBTK5=#<U7}z-ClS)D9n}Z>#w3v~B
zjRTUu35OFu)O~-b>^=dg`+`#9!S2dTXDDW7VB-MQ-wZ^=p8(WdI*im!SAtM?g%^?M
zE<vcfVyNscA*j1R<u@pwpwp0gftAAo8c#h?`x8^Z<rhbBaVk;iQ3&e(8BqP8^a`>M
z7hPIf0ydY}d<!anK>3hZ_2R6M^206O8PvYu@P@Q=I83v_?FkN;zlk+Z0>eC0b5Q;;
zV=y%bl^>vR1*Jb?&6C71&lptybC@s~TaaU(6oz?*mLT^TF&J8sW1cjIc?Mwf%oq$%
z%=^R)DaT<nD851Q1foIZI4s_FK+{D~1%o9hzZOAhXgin#+79M`wpTfz?NxYv5yb?_
zuklbiIVT%jeiszwCxiT%T3ifHPsJsfpn902GzVI4<)D^Z#KsS(oeA;>vFi1p>7Q8j
z`Xs6cwLeL5k0FWX8<D8qm_+qfjF9|DZ1}j6sNRc2_1+|^_aRYz2oCk2ass_v1mzQR
zX6)gEOT8tD>aAEvGv6AT|Iy<MmwRkTG~bp)^X*9VuRV$Cok&#gN}_+=Nc68eiRODj
z)q~n+p!5ZcCm0QDAHnMR1I&=}l-T(7hMG^TdQiI+R9?aC$3>&N7siLtp#B1={r`eQ
z_xh3;-hL$d&z}R5pFr*dg*PQMsNDe45AvrB3u*BZM4~@~q5g!0FDzbQeDrkUL85y?
zpym^sPC`j^e;A48N0MlM6x4iJc)(~_IKkSDuzWOy#PErRnon%_fcoK}d_=7JSQ5=o
zg!T`JH9rYjPCBJ#fcuAKnfah`5st(hh9XeA4mw`L0UfX5fR5L2K*wu1@*v|i9GQ^u
z8V=}q4F`0*h66fY!vP(y;ed|Ua6rdvIH2P-9MJI^4(NCd2XwrK13F&A0UfX5fR5L2
z6hX#oIH2P-9MJI^4(NCd2XwrK13F&A0UfX5fR5L2K*wu1en7@Y*q^gN@+qjD3yL4m
zxC{p?a~mXmFfp=lF);l9FH!)KXJBAr<YHi8@L^zPJjw_X7uNd^HiwC^2dsc;8jJ?D
zqZt^Orh$bSn5LC7fYr}}+B*$2PVoP~@GS6v2m{kJ78Zy+wETeUV+R|6Fb8ViG>|$L
z2H|O-ag4wJg{MvChv<i<XSjY)dPjB#A^l+M7?`H1utLmJgt|Ki(ytT&x%dBn4jrhu
zj8J+Kl%CcAQ8$eTtd9fkPEdG)+zWCyvU_0mLCu{8nq2_NvxCE3cos-s69Xe7sK5XJ
zKZhQe&%($!17eQ|XnYczdm!Z>)3gl`b<;rOuK)iN?;fbR814c26XYHli2wA!d=^H=
z6A*hu6d>X{pz;QkUO@5;3`~<C<5Eo1Za~ycQ-P=>I((q!Vz>un56C?l5cBlFd=^H=
z4-k7qK>ZDvzkmPdn6?FyZf8Nn1;O@%!W-0)2Bk+(`T?nj$zKGUD?AJ450LpV^&s;c
z!19oA1@R-n{Rv^Xxv=<!(GYVvF#G{Z7a)JQK+Mwv^H~@f6(Idh5f6wsM!Ey31G&cn
zqHdZGL><_EP<jKU7Z43o2XhCEhMJ4v9#HxLxd$|E4@!4nJ_{pb0K^`V5Qse(?g6D^
zkb5#9>ZV0N)Di6-sJR&K0i_?1dtxBw>4EtyjEoHsdqff-;?qF!2Z{$+Jg0!g1*S~_
zs}q<8i@$YXap74RV47nZM8DuP^!NsaAILuo!1_6+feJ!U`heL(D83=)a!g}n0GY%z
z4VJG#<r>I8B@p}dz<d@)czFUHXPEW^EDtFsLFz%_+r+?_2aP{Q$oMHUBTRiIAEev@
zna9AuG#jKIln+646AR-U(0HZ<19KKg9|Hq(<{pT@L|#Dkoq+PMK<H_pcn6iQFxm`k
zG1D|zXg+!XQ77^SLSv|h&VRtw|A43yVS$W)V5nb&W<F?~^!I-b5g8onLH%n`{J`9!
z0Z}Jn0--U??*eCJg!`f6^6>Nt$|s=w0*WmV4R#I#)3n>1kZ^^LmlLfXI<8H$dgwSa
zSUo5mgWLn6Vd)IrJ<#!AuzHYtLFz#?F84#nWr<b~9S4QyEA(`a?jCUPGB8boroU+p
z(0B-e(&11VrVmC#$Hk^)K;@z4OnVAVXP|Z+DE))t3sfF~`7Df#p!5t%$IyACX`plt
zN)PCC0n}WWeXw!>R9}PgGfbQnTJJ*VpQeH86_9!8^Z%fHfN%aE)J_7;|4TvV|3U2t
z<oW+_==?vZU4%UU58{L7|3Nfp{=XbL|DO$=|F>X;%>TPW=l?-8X#O8WgXaH1{sPVa
zgTfCq{|}<E&HsbiGobl@kUr%3f3rt#szLMrt-aS9K=c2b&v+jK&Hr=hSqX#Y|NpT<
z=Kn$K7(nxy&~Z=r{C`?z8hGB2Au}x>HZKUGt1`U7^TWpZ?%?^(j5rT4-y_L012m5q
zV3Gx%uQoS^%vTTh`F}$5&!GGZnm-1$!$9E-9ZzO~jwiDaTK@owcaVNiJcIN@`!g)i
z{tOGWKf?m;&#>e{`ZFxh{tOGWKf?m;&#*xIGc3^l3=6bB!vgKkut57WEYSW83$#DO
z0`1SRK>IT+(Ebbyv_HcF?a#14`!g)i{tOGWKf?m;&#;sf>!*RzNoHEU9*8c>fUL`a
z=3Dr@GpPT<z`)E6oBw5D!$1EE8rKJnTcFdR@&z;=0x};L4I1wRHON8zrsN#R_<c4*
zellpD0@OYMnF|^}VnUkF1DOX>52C^P!R<v>4iQMb2x^8h;Ia=SPOQ81q2snG(D_e>
z#F9kNyeFhwCOq#68`pQCvbzkR?ka-L%M~&drIHd}p!PE;{7R_oE<>ohij#A|;{XiF
zIYp#|7i^wl36<St1a((=5o8{d)bN7Mhd|qNR7+pRP<N%~7J$~La8xoBfYy5vz5W0;
z?*eTHa)8P!Q2GYt3v4v8>D~nDuC&se9MF6kLupP9De(v@2SDiy+J2;(yG)_(DrCsX
z0i}14e~Bp{OrhmN3Z(r)HTT#+$IFUKlfd(j<(ZK5WdO~O#JbN0>b@SR`*6h{Oq|$w
zw8h~*LlWHwT7L<O&plLjpB)bO8IkBdJ81a5A<})t$!VbVS{!Nl@!<L5{M-Uidy69v
zvQCVHAvLcAl-@z@Kv4Q3HoVKA?jTk@Z2Z(8x_%0@9u|~uVKk)u!pZ?^e}Lu#Y@qWV
zi7DW9o1k${aJtJcEhzxy)6$Z7aJieBo(WzLQ<7Q)o(Ct^J;~7VTwkbr9HBI5`~l=H
z(D)IE29?tw8dQ&fXjnbH05V?0kpp#SW?l(s-5W<<N-Aib8%KOfY8l9#naQbOcc&%h
zg5x2lk|7f`UJUauvF=TQx;LjF7i?Z$X>K{l{QRO6u>To~Qc%_@5o>NL)LdNg0_w+u
z(giGDVDo1%cNAyjgWZvskqRCcPhm(+L@uw0bw?W19mUXiNQTmBP&yMz!}$47K6-q-
zfyM{SeI=Cz;CNxEEC8(ohOgry)?MjPcfrgn&rAW=Ul|N3;Q9+z?h$KV1}^hT89?iV
z!SyXDyooh06KWnT{`}Cw1v;L<0h`atFG~gIo3tEAyu~MHfWs#_I}^12mLr`ZGmo73
z%OcVp6)ceXgVMYdu)RfTIpFfBJU$uJuj5Ef&Iad`yma#NNj8!0SOIfKF(iMcCMJQ)
z570aWsQnG2LG=)*J^<06_BX8D6M&{OMEMGFZ(<Il94JbR2d~FTV~EcMg(s|hy$!V&
z+7HIL{s)$>-ayrVgwinm#HJ6>z#qu{#Ht77D^k>h#y3e(Ur1uTQADD8Q29ZMdqCr<
zq^Jjtvw+m+Wafd>Z+yHZXdN{NL%gLCs2)WUHwKl@4Dpu6XyTyt?;!OiAaPRbfjCac
z{CjYuA2_{2`{_e@-VxMK=YaOpIiUS?_<Z98R!DgP%73762ldwp%{OLXnQsKmH-X0G
zU^FOygZLnGK{O~{LGwB2^NpZ+IgmU#^NmbI%r`>%*VyMPvFV4_!|?e^Ncv%d&r=}t
zLF4eCaeELAo9~3STQKxP>QSa?g!Dt}6Ab;3@`|v2Sa`$cOF<PLXuKXY{tB9xgv!I`
zHDK<6&TFB}lY+trBoCuO@dVWm_XpJ6X$%aFpdDE7`4o^o(D*R=JSk}W3^ZO0)yD{(
zClf)Q4+V{{!{&>i{+<M-r$Ohtrh(dzpmAc*_&P{EXgnUDJ7MNCqPY`fF36qG`A_)#
zDRjO}1T@}^E!<%737zkn2HF<|a}UfNFdAF9LCwVoH&8tWau29I3<@VOpM{YT)DH&n
zq52r1^8g~C@qcXY1BEZh-VKoPk7=NOAt~;Inv3B+P<Vsf2c2hy&x^w5Nul}}Ve?kd
z`AksofYJv%-NEubbiN8@o)i{tFdF0!^mGR`7sGv^_B6<S=<}q|c}zX1K1SHQ7pOmi
zE&M>?4zd?I-!%<7&k3HVfw>DrgVGO39n5_&8fxw|28M$mf5Y<yDBXbE2c3t6&znN#
zMLG1K`WRvJX3+UijPL`+1IS+3d=9AG!WO@{+y^xm!+oIg668Kmy91Qoz<d@)Mo>Kt
z;zRW@MnL+<BGCCCaJYc-A$q<A#Rtfpuz4id{0_+dAoZ|(i_4ub^BK{?5tP0`?rZ_i
z$8zX_`7Df#pm`loJ<|YnUk5}UBm6+^HITbzK-7WP$zTgVkUK%@VDSp0q2^+wKajZ~
zcTIrUs|V(@Ffwj{*dsCpB97r6(EJq0o)Zvt(?IJ<NO2F;TnzVs%muk;4#ZwPFrS5y
z@d3mh5m0>vvImrJLGghef6#f6X+I$9r>%gP1D}6{#UHM4f|-wA?t;t(xoZu?JUuWU
zX}%rW{)f-EgUSn#dMFKQc7yU2e7+r~9@l(3SRV`H9MJlt9HjYn6-Yk=KHqKt<$FNr
zX`p-s3K!74Ca9iw0E-JwlZVcOCV=@IA`K9F8pyxM>MOwF2=z0-d=8N%5E{N-3Y1Sk
z=7Z`>P&$CkpKpPv6S)APG2C+kERHb$0hrGr!T{;dV7TW8SRSFC2ck|y0zzZB2b8an
z-J=3gCt?7hG1SA-0nGiN_1mEKM+8(otbGFu&j7GE!aX@)K8HvLgr0`3z5y(bP(KIE
z=MdQep)u5N0E;8kL+5qC<rS#>2DukRgW4+~8kWCd>kFXsEnxMac!lK?Sh`1751j`A
zs|T4!$b9Jh1kviD^9Dq#hmPkHtsXkgPPBUHI5@mKAQV2(avr`O;Q%;(IHp}eqhCPz
zpm+hLKWIOF+7GBW`uzW4P-y_&SBh`{|5fPx|4Ov||Df?O(Efi=D;9bFAH)aE|93<8
z|4)U^|J$)c_WwWQfz1C42|)J$gJ{tF|6xJM{(n&X6Epvx1>OG-(uX|%&v4k`C}{pa
zF8>2RX#PKB+Wgg^`Ts*qYb8PR{|AI2^Z%gsFlb&GI_|*&-S@;&RKdW2vQIq97Bp_c
z5)@?u-tTW@Z3pK2S!aXy{b#2`_RHrQGem&&4flC}daauP)o-Bq!L`2~n$F4I-ww@J
zY@l=mvIjbDF_hOAK=!xmm4U`BSyC9vGGOOdFd*$~hwe}3f$mplgzis=?`wyyL*jw%
zONZ}!hwe{jgzksuf$md>?}LY$#|_;d&y8!pJJft`==vmXsCn@94l*L-t#<(R_dw&+
zgOvugYeDlhp!yFN4I0nogwC@P+Ao^`-M0^;LFR(Sqd+v+9OyVDXj}s{e?_eQTp0Fa
z-(L%}7e<5ZMYbQ*ZU*rKpyOr4+Ru$)Klc5&FneJ%y8WQ?17v><iT3kg*pGexF3esS
zjcz}v{S2~y1&Q|aV%U#;zc0*Q7>#Z}sND{-{|brr3qb8B^_&P$I~-&m0~=&~6jVOr
zO6MSPV$*{l)IH>$69L=LuR~>b2|?XO>^Tvzefcp|c84(39h9CEAq*`qTA=O&jpu;k
z36!p|(Zt3dsQ&;;7v!E30UG}V>E8l%7q!xrC^X#2JtqQmeh<i9PpIrJF{r!H&wT*3
zi$V1pq4juT(0CMKCoUgm=B0z@jq(`M!Ry!x5{tm|$%#2R;Q5^V6v(`2Ng{MTQX*tM
z5-1)(?y-Q{18U!c>KQjE-^tL>6g1zI&0uH>ns0P6H3zkyIT%dM%|P=^PR16Hc_(8F
z@Vt|gA#~o#5HfG&WMBzVZ(s>l4{5Kla>PK*PlD3vP&x-n7eZ-b)2A|Y9SkhJQ=0~j
zQ-Hz=+Arsr0d*g2U1LsaF*v*#Qj1GK;Q|^z1gR$!&!F?YK<RG-)I41I6edn=c&I?b
z0~Fq%d`~V7ORv!R6pklQcf$N#oR|w<H<ObJIe!GEo{+ym^9~??|A3kY^B*pnSbwWR
z{aum@N$06Wko8G9*$m0Z>thJHPZgT~bT}aS50|@O;>5a74by$#bvB8)1>kjpsSE|+
zb$Ouia$?et8q}RJRCcF2)SU&1Mc{Q4C6$nH%t%cJonyzrkeUoSXODx}bgm9{=M*Zt
z6Et4{N=HeFrI7VeMTy{in97idvW|vOe1qnfK<1pGvimfl;RxN2z!9IB2VM`ukeQbU
zDknhY6fyCv2@StLRCb>h)P3-HPAvha$F$T;aC&4&%_M2vsut9pI-JzaH`-8l!pfbT
zd}w)_4=Hbn4M%OL`%<XvKF|h4Q2K$zGy1w;V%-OtAOV>(h05;Jg@zx@eetEFmDjNI
zdyY`qU7(3)kbhzBD#_0Uw^xX*M_}g!eW9|u^wGns2%6rCNK0?9{R=8w)XfhD8193v
zXH6{xhaaKx4m8gW3il8yyUP&WUFAjKb)`i_x(l=}7UZrLBHaZ`H_&}vB(KBV0xb{F
z_szh{8Q6Xm^nD`e`!mq@MWF9TKratq>+fOZ0%+Y3zV(4Hcdmej3v|32zRoW`B^A8h
zDX}yMT>mkY=78Iwi1ryn0jT{0^H&n|yotP&9B};(TNjy~p9>ln=P1p~1lRM4#aZBW
zlz9wU`Jnbcs2vHauZV5$faW<t@ky-uwb1w=R{bUt)o&zG{bmx?gZ6Wi;@|Zonh!ch
zffVzPK>MM@hUak-)q~c%km8<GB$|JNMD>r@AnO>3_3up*&A&yW`iCT{|3;$vUnHvk
zO``gLQ1zhxFQ^|0>+gWZg^~FnanN`*>|DB}Oh`L2D>WNjj)3Hm+q>xT11eXrnFre+
zM67x{KJ4+2%X|kM=>?a1M`(Bu>mC;p&ku4U(LHX^b?L;q$AO)+@Np;6zg{G|2ejUV
zl=8y|YCcB#O3eoMM`86TvEczT57ggAPJg)KAEutz{16BYKY0HNtsk9Q1fHh`4X-d@
zt5<QkBM92gBG#Y5B&r9UlYlK=aJeUp#B>`D4HshFA4Q`15hSXQfu<{B-4jM)K8hpJ
zzX>F&hwVEf*8Pbj`ZtY4_rUfK5$hh<ekfwqgZ9T^OP`Q>2KD@OP`#7~^)H@$m<?(l
z!^XpjbqCB`V#^PhdSc6uVraNyjBmw5_5<bQK=xfh{EawIoLKjlLd_>ueK|B7iB(@o
zqWWqQ)z^}!zMe$&jX2ap!W%Q4HAB@CjIW$j@V<Uh>noV~#HKfxdScUC2Q)pP=ac+A
z$bR2+@Om>g@cwU7>Z>lOxx}WM9%wictG<s!^%F=`KM99=T<Ks6R6Xu+Ov}XApT<?s
z!ptQ${$c8gjepPv7f`thPru3e;PL9T%yjU$60z|C+NS|BhgkKqpy5TV`Z*-3pGTtl
z1th9pghM^9^Z{B24GJGh!x?4{vFQP(p4f2Shr?gE(&qsZ^Z6kX)gOkcM-NwA?l}x?
zr-ABqV%qnh^|YXPAvS!DLES^F`cu&UDY5Q9#S7`L5$pa_IL4E3h0kdc`=`gbA?_j8
zzb8m+haVwP{dp48=T#EbUnensZ<DD04vFgDkr-chq2?2t-tLl^U+$8a-d;k_`3ALn
zK>3l{G$@~d+9j}c8h->J^De}u*H<Kl?`sm(-y>1|eQ5j<>;8w(ecZ&Ve*`UGs2vZW
z`Un&cYry+%I5;8%NsEUkB>Lkijr;)%7f|~N<R4hN>LAfSp!2OssaI}5{R1nvsZFE%
zX9tP?xk+L=zD1(?k0h4UpGj2zmBf7YokaDZeYT+ZhNU0$_=A;G(0xN3DngL_fvz6L
zhs766y#bnfm^zp}=;|Gy>WM8EenIO2V(X1x(0Uu>FHpG-(+6`8j1Qtg<1xQTtT%p>
z=$_vsy5~2E?)gg~Js^hz$iJWyl|ktN<bP23fZ_{Oo)8-!|47U~{|Tr^cOMHQBluoH
zV%>+XpPiA3BK@q4JSh5M=>``K3%@6jeQ_K=38Zgy_i{0Epx6gmZvu)Ja%q@*K>9)J
zXGMh}`I*@G{YGMZ@iH=_xF5C-?>qP&1ZH+n{s*Nu=zbm!=zbm!=zbm!=>3%((EBSn
zaNR!vTQ7iEM*uw^EfIX~DMxWBWW7#Kem-~|C_{dJ0cf5tlOewVG`<1Tp95VV8(#pv
z|C1xLq!K)yP+ST*cg%nx7kqCdjBg0#!`8zml|t?#gYNGd%IDI6_IGhqK=yZWK=*gS
z&!t%fO*f!?2#P=0xik+!0u0#i!MK6tTpG}RcF_J&7!6uq0^);>!E+A==spFII$E7e
z1Io`J^I`5lz6S%eZy2=S1+*U=cCHMxy#+rP1~l(Xihj_<8fgDA%sxmyA^uz(8|XPA
zF#VwXjch;6JW%-p5}yv<*DpM)08Jk#KZ4|8<`D8XY=1ONJ-WTH{pslTg6@Y!_AjIz
zjyOMukiDSw7RdTx_Tq9s%)hYnIAHDtm3tun!rVv5-V*3J3o!jKdtrRgJ}_kSVD_%S
zp%0h+FnxD$=!2BwOw$Ot7k2If%zdP$Yv_3e@N|uyjtJTB0_j)5^~2nOZVo6MvE?gh
zzYMM)mamA7FUa{0p!-8WHY4&Ax;eP)7lG8<aQk8L1q(+){)g84aQz@xfYJvn{$T#V
zWk2-XLJa*N^&oQy>4%)Jfa!l&J|U#P2F?F4_oL@mkbAJjA51-HUnuC@7tnpGpz|g`
z<pSuu8qmD}p!+sp;c5WcKZtP;1yns~*dH{rgApDudvMW5pyizl#C#FZeo2u1(EBzR
zL3~hng6`b{l`Ej|0hRAC8YB-ohXod1xa`3t4zs@iYCmZIBFKK|{T+<3a-#zxkKuo)
zdW!u&2Vy?#9wa^JeIVd_szCnV0+GkCAG9xy8vZ{4F&}oGm>%?g5k^q_g6w|)k;kxK
z0vvy!dtpE!jL63z9!MV{8W#RvAm)pJ+7I~7l>!~i4N3=~a~nYZhNW*%{Daath(@*-
z#)q0aO$O~8Gmt#!d>qhzB2c`8`7Df#p#2;mK2#s00%U)m2xxshHur(T0c5WQMBOyd
z`e;(z2Q?St93oJ8267*0KRd`hU_J{YBd8w_;zRW@20-i;fu2_e5(ec*__<+{;D}(F
zmH<&V4SEh4{Jav7zww11)Labrfyzse`(Wpof%z<qjL`GK^q~3}D<JlYK+hKg+Y5?E
zQ2P>8{($@ix?c+vpP+D@08x)}?-EEo?EDyzJs@>pZz0{!1~VW1+$)f|Aa_E~BZHs6
zwgO@g?A|4ea1#exz%=auMBOy#d1GMr!@>(jBfAF_o*+K-yfTb%1C?JO_dw4D(*yHa
z7#Tt5CV}D=s*mvo#9r{lb=cA!D1CzL`2bNj4SL=f(e8tuSBBv}P(1>2AM_kC_<3tJ
zko>L()yD|hzy+!|VCR#8(j_RK(Bl;r9tx2CdedO%fWg$m;uWS29PA8;b|=hy^z*Pl
z?G=zaLHG56!VSzvI?oIgo*?(2n+M}V(;dA04uHB3CO;YMU*TD>^QmCs=<WoiFHk(d
z#4B)^(*qF~fu3^)KX(ZhUXb(11g5P4s}q<8tA9Z2!a?~2cD@-zKjuAOpmYRs#{sZ@
z4)8fvpmGS-4}yg=%)K!Gz-WlMpmqt!Crt43_dxXkD4ap}MuEZ^%x7U_yaBOC1a`g|
ztiJ^F4`}`V|NjEhzJS#U!0$DJ`3JNf9ppZUeoX&>;uGW_4#>W>X*<C2!7&YH4<Y|R
z%mvj?sQv-9Ye4?l12GSN-rNBQAKs2Z4<}GL5AqMlG?2Ss{Q*e$VUnP8PC@rNDL~v0
zs;)uhaw<e66C>0-#xD?iLEDi)^6+vSbng<#9t(*6X+I$9ror3^if>SR2;H4f^QS@0
zoyNcb%0Y<u1hun4{s-OD1&U8FA9ODs#2yjQHE$qss5x-=NP`8KrWHWcO=AI{=f*J&
z*3Tv69;mq(?g6E9kb6M!3bGf>XJKUQfY>7fI*$We`3KtP4ho+Y5Ovc)=T?By6D;4u
zXk6g~H5VS=uzT%5?Mje)K<5^K+ymybFfty1*drnV@i#_&0V_{#K-5i>fv5xLLr^&c
zD@Sm-2Wl>cdqCqFAoqaIn+3TC%m>{M39&~+18NT_{6O}=@*mValOXAkX&MJ)pW8Ih
z{WYL)f~hBzk6`Abm%ku$LGA+GR|0Yum=C(|5n_)B=$u+myg}UoPe-706F~9i08s}{
zKOpyj!Ua~Jf@lyQqz;_ykm`A;xfu5=g3iYSxyJz#9(rIt3nOC$#2yjQxpdgv1FG*p
z_7p(WP4j^0$EZhfxd&=4hI>H$1CV<__tk^K2h0bhYluA}pmTY#xd(I(2gp4OAnK-p
z?yn~$e4yrHxCe9&3dlVX5dZ0c`7Df#J0SLmfYuFz?17~V^mGJL4|3N9i27-u^L~-l
z6G}%g^U>20=v)+#yK<oJ0`plI8DBu`5dn>dfx-#e?t`ZzNP)^UjRCT+Z5rqtL6Cbu
z@dQdgpmG{SgZLnKqo*UNxftOD3V)D$DxmHG^H~@fB_Q^Q)Ih{R+jddPYf$`x>@k3-
zn+7`Pm=yOw&BbsJ$e$qhv_S0D1M@-cY=}J~pmmp^@IlXKp!5%NPXa{Uv>u3lqSH0h
zTnzVs@-N6e6Cmd4f%z<qj1>@jL_qhHVsj5DUP11e08uv$w9b!|@PV3(;T}*u0CLY9
zsC&SC7Dh(se8x0Ly9IIoEp#6w{G3!!J0GMUO2f}d1%)3A12ZG2yhXl;6s(SgaSkZH
zMHrZ~K<YsC{04}>;OC<5f$-ts4N8Zg^oX7gVdrK-<8Km79@hSW@o|l7LC&cao&{=8
zfc$X?YA-AwgT+}G;q?m4Jea-5q3U7ogV8W`FdC-*EQ#tbk*NL#iR$l>sGibr2KA>w
z;rtkCFD#tF;&{RtW-l~7O?v^={|8FL(j{o%2~>}R_M3v*{h)Rr$Ue~hy`Xvo%x8hz
zrwvMX(DU@b=evXQ7ifG0M1$JtAexICJf8^f=RnKRX`p!mQ2v9(FZ#Wqp#CyQKa7T%
zCy2wm6_ES~PiHXmpy&JHbe{qa^VZ-n4-|e=7?`s_?N*TgVfVX(%6rhbC8+(#!NAN2
zYbV3}2TIo<|G@a5d_hWk9#a1?XF|eF0PasV=zXgY|6__n{DCPB^2Y=Q<}8qVk^KQp
zC*bi<4+dsN*!UP!d=jYN4~jR~cot;*N&q@OAv_DzP6wqIP&xpm7cifNkrAY?iGfiQ
zA}#>#e}KXZdAzF$)P94ECy2o812uF(_QCEefQ&~W?2~0+U;x<%9rw}$^H~@f<sjmk
z5OIWkpngBdU0``ozXQ!a=(rJlTmmw_gs@K@VlE?e97_+(2e}&}t_cxG*asVr0{I){
zKiGH_%zx<PMxgW#N*9pv9^qM_^U*>6gPt?52j;UdGQ!4>VCF!_ZQ$;PjPD@a4LUa!
zWDdk!4m~iRg^>|-juR+eAmRvjgZeL^a01JN`rByX1RaNg+Xor1LD&aM7a;qf<3f61
zJ_{ow=zJoOeGqYkeX#KzusjPRe0&GyKTt0cln!9+H^{gP!amS>LLmE~<2!m_KGJv$
zZ2SXe9{P9#q@G5Y2Rio$WFDyB1PTW*9~2JY@dOTd`b6*NL;Cdyb3o}EWDa!vKo86Z
zr59-b3FaR3{xYP0j4%gujt<BiXg^#J%m<}kG;`4VxuE(1IX!{SxdE92?LX^*`JjFQ
znmOqGQ%HXl;U3U=G$3=J{a!sVAE}=T^A~!*64H-Em;=h+AakJoRXs2tsecJG2fZH%
z>GvVb0iE*#G6&kf)C2QD;S6oZ!^}bNzd`zI2y;LKAs}-=;|id72lJ8oaWHex`(2QJ
z7Q!6R`6(cCp#3>LFdr1oXzoGpFG2c82y;N?7swoFKTHqIN9s4h+=Je~f%In(=77#8
z0ht5sH|c@-Nc|j`Iq3ZoNIwK&4(L8qkU7x)jvkng)IWilgWjKj^dAuBfbK5^nFH;o
z=z;l2{R)^l==}glyB}c=s6GIh1MOevf%!=N0hl@H?RQ9f9bpdWo=}iE(0+j)m=C%K
z0@6MbfwX@Fru~54V{ZUyH;BO6*`V?rQa&*-fZEy6_5i$|z5&%|1Jws>CqvWgG+6%?
zmp%`uK3IDh>R)iX3ZH!;P<^m=Gc>#j>Pvv?gSDTb;X+Vf4pbkk9Suz<aDCADzzCNL
zs6JSG8k*h->T7}OgSCgD=^GxNxa|80jSo;e$b*473pCD#+zx`aQ^EZ&7Y1fVSo~{1
z+gq@97%aYE?XU?@_kh}Y|Ne78$4v#`<E9{SP&*J>j!#<-9#<8fH3zB>)XoLzgVYxS
z(EB?C!QlYX2f8mC)Gl8ERS)V1g49FGa{=&p7O4FWvj-y10k;R#?gXia)PIO}A*3D?
zp0x#PF0|g82HG$QvJW&bf180(3nDJS2r7p_?Kg;dg44kAER67Z1&H|wcZ1IF2blv=
z&oK=mFEA|z+|CxB1<5}G@ct^y{Sa}`yZ}gq@H{VQfE#2F$bF!C57h1k<qwc<Q20T_
zNjDGbZ|MA`0CYY;06GpW0FP%#J%$KZJE;F)?Q`_>0&*9=_&9(gKFk>y7_h|$#5}=i
zV0j|q;|vb-qd^8SFinEQli)N+e+Mxy1{)7J@&Et-zyCSl_lhJ!{R?Vm-)3NBgqZ^}
z?%#jh=1jn0jwQsOj8+gDBmKe52g|cC;&f*On)#6UM5KdwsJpEp_Ar9&Wnr8K=Cd$P
zgRMIP`-_DUo*!Z98q{yV79TM8f#vbIPk~7Hf$n1lg)5k5VVvdzEst(M;}KSVK+0=G
zeu9;Q5OG9#@C2$4R*pd0J(&6+;s|{op!#6>8B&i4OoO!31mWd7th|E6KW4s%g%3m?
zclrRen?d;mR9=JTonYw<bpJCbJV52dzyBQiU_Pkb2QF6;{s5T|%KspBpn4j{Cq&b#
zJb|>E1;Fz&pmGZ44p?~sx)&5wj=}ox=;g*AX!wKlfx-pS9zggP7T*wYM7(kEfX8D*
zVCe+r4p4s&x!!<?BlLk5NP*_tVd(@`&Vlj~vOb77LZ1TEK3F<|^kW64LHfOz=>!r#
z2!DY5H<;oL(w-53&eK5ZTTuK#+A9Lkbr1s3`3YG2QUJQ1L;$+}0JDAorE5_81H5jU
zjkyc7{+*ANxf>Kupn4Ws-onRCbfDo1x}Wp!e~!6Ob#ps;7#RNl7oPV5TAqN~^Pu>F
z&8N6P^?~l)`1_v&)V%@EBLqOjk@Mr<|ANzC>vCs9%SU@?`3SLBU~V>~{Fw(D_W-q@
zLHC9?F)(_8)v+)#g6{G7`(I!#Se%7%E_A+YE?7Ma<J@wn{urqJpz%78e?arO3=B+j
zq47Vr3F<HK{UNN(nLc0%=FAMJK4^NM1o5}vG>AC@(-wlu0pVFrkntHtX9yh$=Cd#|
z!rFOYaTZ3<um(6hK=+`7#@|bz=KleQC&Is=aUqa@q2V|eLJQ0Vi?cAo-3z*hnuUQm
z3uGQBejA|Xf$r&PVqmm{>SKiFZ$@-`K=;8T+XK}%7c7os4_KUq5ma1(-49I<j3E0#
z_Vz&SodKoYA>kkn4JYurDUf@h;*7BL29f8O3mV62VqwIH53qWqaD&DR#5)WO4B+@;
zW6oRxwbv8mIu=IIGGq{o0qTDSXgria`HZ0Q0Tlilp!%Tl6THCtotPol<}ffYfYgEf
zw+E^YnhxOVf}rW-1XLd4Fs5l&ApB{d`6Ey{3o;jE&I5?J$QvjP&WCKw6G8h>K=~0=
z{vqc_P<aL7|A4B8g%_kh!358bp!fjgH|Tm8MiE{}Is5>et~jQ_)}h1lDabvb_yC#n
z_rCx<eRwl4FhsL3LYx8i8-xIbdlLhrI9MMGBjXdWxdPyOI-6J+r-9a;fXY$W`WFSL
z{gC{EC<k<);*flc5VwGeL*j>HF4R1X^Z=4)U}eq>13Qa3(*>#z8ZVO|{uP`CQup^i
zB7cJN2PmC`?rU#iV2lLw5%&u+g2j>2SvVveFa|))2c>gRctQMw2ru+<3Y1<z_CVBe
z%!TTk3l>MpPhfGR`~(>%XJQ2P(?R(eWIrgq#6ay2gybhnNVp;FN6*io^a!#aqK;!O
zRNq{%IFkKfaUAx8?9G7MTLPtnA@++y!<7+qe<~<EpyKfS43X!U3zo-{pTX)`7(vwr
zD1S0S;}Mc=7#P6$m4S^pvjJ)^M1l!CZwX4LQP6mS#y4CXRIh;MML^@*JPgcPAax*r
z^gzuC1=+^JC;*8U0R~9;2rxkTc~CxM9Mt?7P<`NJ#=tZI<R6f^5IzF~NFB)hB~W!x
zcY@V%Fd)hgn0k==K<YO@)r0)aM1*@1q3+oO)rZR-kUEh0C!p#e_CnkZN>30zf&_&V
zNc|P4dSr8v)yIOhFlRnMRX6Pogby#@K;Z*2?+-*=go6)K{(#FhHs*<-{dJ)74OC8n
z$}?E`4>q2GiBSZq-ULd6#Q**mfY&#mel|#7HUk3#4+AqJwEoUcgM?2G==>!X2Id^l
zyab5O1mEY!oC7MzIT)Bh%}<8E{{?bE>Ot;wfZ79c&;S1d6F?Rr#~a8zkUAfzI#4-@
zO&!QSkh%z{I#9uhO&!QRAayBFb)a<)*wlgC3sP4ARR?O<!qg$h3n&~w>T00sko|$I
z4(xFT=FASLI%qskn*!m(;}7J2ka;U0;v!q1G&tVam?whvMdFLU15ovMpfn`CGlA#(
zLG3))z9LvV4^+N^`c=?;48PACS`SYH%d;?o@An4PpP+U<s9b~65a%*5!P{3wkoabV
zrjzV^Xu5!k=YSespmYK1_kd`yIV_C1Aax*rzks?Ml<pW9m?nS<7Uc8*(hpMi1*#4j
zju`4d=7ZER@I%r+)PG=g$ngSl7f2lsR2{Ot$m)v063m$rP<2pyr>Q{r@c09{7i69Z
zL|nuHN`vE#jd>zyKP$fY^MR^QfzqIQ2voj<^7a4!0<iqX2r5@^GcbC98d$&obAanB
z(7YqmpBV87R*w{qpmYI>M<@-CM`*k;Rzc$tmcPrO@mLO}LGys1_=Bp;sf4J{0h`Cd
zm<v)53WoxyKfuAqz%-#0q7IV17#J8p=7H4JK-EFR6+<1!K9IT&s5)r+#83xv2T0u%
zs5+>B!0M3W6Xb4?x&=^m$nHZ{R}Ge6&Rhdk2X)`H9S}Y|-a-BXnRf&tE^+}%gX5cx
zc_OG@1GSg_{{R1<hn2YvY7Tsz8EpS+0%U(Je19KgeSz?-Hb}kC2&P#WA<2w^i4oM!
zjA38~8~5kGFueT-x~~n?4g|#?XdDGnE+NLjK>M;l{l!(#eiPW6Py%EQY#b2X97y?#
zFb8_?-ZWh1K-*K((9MCA&j@p%_vhg>2V^hEKj`K_%5Q`@(0lN3ngi*7Ghw&~QobY1
zf!=3_VGb;Rf$m!dxd+z20QDb0d{}(7L*olfBgGf!{4Nm&W_Y|qf}Cj@Xx#^>d<D&G
zgYqRv925_r@lKGrpnKgxG^jiPjdO$5A*};~-cvM9h=~C-E-(wEAB17<1+}k0`eF8T
zLfr?G2eqG(<-4HrV48(-ZVt5n4!Um_*?pjKQ;@zea7JUA25L}((iPZz7RG5{^(>6j
zvZ3i3m-|5NRggI__krg9LH5Ah2Wnp<%lAUv2d0tS*9R2``5hE~pz&CcKA8JJ^En{*
zfz4-OoCa3U!Z@v(fcq?<{;P+o1NV0sn4t4L!n69J_JV1&_y+ZFVg3O18$s@Y`4cqm
z4)Q0=y`b?DkUT8DVf&rI>X72Q1?mo1c!JUusQdw$gN$MJ!qO|Oy$EU#g6x5%*NIT~
zgJ~r9pJrfSkinB)K@AI#`$79yniv@Uz<khQ9SjT%pzsIvZ$S3I`~zwSfx;iG9?3t@
zdzWygUr_l4G6xoJpnWVLdqIB1W)G-b1la>thhz`*9y6TwfcmY-_JHn{2H67(NA&mu
z4S<8>!8B4j1nt`ZiNo9n>i2=ng{4E-H~`pu7RG5{^(>6j+R)+^q#uM~_M_)x(0(0|
zJurFnd^{5xuV5O<eV_sYqz_~^DEvVEL1g#A_Pc}4XJMQMR?osXZ5jdhf$KR2M0qwF
zYCp_<==m75t`y`BFpcCs(0~I-9Ogby`v;r*z~-|sP6MlFVVpLHfcwz%F|6Ey<%4-p
z_kwA(e1M#fVftX{aXwTZ%)Ov~CCI(7bPqcR0IUuvJ)-AhP<|sOAH(_$pnd_!9+>+<
z<Mg0#1Jg+EKMQHM;jDK+<EJ2fpnb`pd<^Cz<zq<umuVWzKOpl#`3tNb$v@Eh$>8}5
zWG}LRVCeu>9>Lnrp!PAcKNds76HFud<2(U>fYx_``~iyxP(2AU7v^qQ{Rviw<ZkGF
zq;PkG?7`=5So;suz5|&L3;(51cY|ppcV8sn?qyJYFn5E>6Og&+?gpzva`z&%^bWEI
zo4dgqQW==0!Pe=*@+r*Sp!Er$a0Ahx@eq(ZkntrjhdC1#e=zf4>vSRab~8;gVR3h0
zU|<I;V`N}w0*zlYLHW#3ngvR;GO#m&*15AWurq<?IoTQ5nLz6-IT+ZPK<gGc8Q7UX
z?I$h<b|#R&xuN>xp?n?&b|z4}lb3;=X*&Z010MrB(_JP827U&1rVlI(3<3=7OoFTo
z41!Qvh=HBy9V-KaFatXis9h|^z|I7!*2ST;1k`*<26iS;gIbY+oe6YKfD%-n2vlB*
zft{&hZmOp=13ObnxY>3Y26m=sjS1<p4D3u%PpbdPfyCJu7(^M^nLz6*LHE8x??Yl?
z$S(rj1Hn>M5f8cti6x049&`^N$QcZb3~Vfkxk;e&cUX)gecf0X*jW6Xf<X6^vbZLj
zg@O3SHYrgcI^T#PikX3p1vDSPz`(=`T2BYECo?q_bk8aaLuzU&$h_hb@V#3sIr-_J
zdz4s`;|&c#`prxj3_<!q(F#(R9OekR2ZhBd(FLq7&p*Nfq%JPZ-4jF`re+6#Xd7dO
z0FXY=I4a0qnE#4Pz~?Qol%!UG{gs)|Py$j1Gr!8!Faf0BCm`PkM5o8OM1W{x|Ef3;
zZQ_;%c9*v?#9c7+LF@a7^B4HsCYH>S4A8kv@bHXwHwK$)VryjtvZpG-3+#?C{~#|A
zKh@C70Ypa`GB|+T3$qureuOxCOF`#PvlM5hgXz>1hCGltFn?R8MrDD__cw9@-9yU~
z<x*t>;>Q};fy39)#|EO$5TXxeKd3)KiT$AT%fP_I2AcOE&K%V617~0cCN^mQjTu+`
zLi^!tpne!}_MoOea6~aMv4Qd#NI&%aESBQbBG5gLEcz*_Nucn`%h&e@)2S{+AUd-w
zRUbsV<Y$+H=-kviaJow^(g*9y&d&ko1AV^`KahN=zNagQ_HlIwrvoEHV+9aDpeR2b
zL>DFIg4Gu#LBb(bAscK@YDqCfTt5k%FVcf6!RA+%q=N1tW6>`t0^L8xlAc`zR##H2
z56-_8@g-n)>y>4I?)_w8D9cCz<ug#aU|?X{52K;`DtI96N@m8dkn_=aAnkKzM$kMl
z0|PTRR2?^@pUKP(>3=YDL)CLb+9S-|koEvGH>5sg=7!Wy%-oRjo|zj`PBU}Ag4n|i
z8jk>(4?6!u0b0I+&h-MVuLPa{2ctprK%n#IK<>sxgU%5I%_D>KlX|Z^sDBUA2O8%A
zoll3)9+3USx`!8fuMD~Ox`W0GK<)yy7pUnjKB&8hz1JPI?-k?@Xuk&B9Rh^|u5cnY
zeE6a6fSqF(pHvD;-y94{rNy9g@*w$}m4ncIjiCK$AoqdRvr{vi1fcFC_g;6<I1|WS
zI*{|us1|O5P<N4guREyU0CE>-T_iQb3p5@8G8a^SgVF~&4XGDcIY8^%K=y*x4S{I%
zd*BJhH)x+F$R5x-Gmw5<=@2Gf3cb&j*mMahcR=P7t6rQHa_+lZyfdhM!Ql;Q=Wv*2
zgWD6J?Gae-uY$P`nFf_(p!2Fg_9M(QH3!`@Z^mG14mvjy6t1B1ky!UhVz|#3RR43B
zFc@2qW1bX-d4`rC_Zcx5T9RX)G=_NwVDrov3{cDijZ@>h2NV`>JD~Y3sDi-~bZ=1+
zl!ms0;rD_<+p8SV_9_RYJ;2Hl1v&qYBOXd8=VXKLX)P$qPX_rjwHR{VW^qX-s2=7h
z&4HF%IjH3pvGD_HXM*CJSoNR<Rivl~?F%7AJ*fRjih9sK4^q^F_H&S;9<*<R6!oxs
z7Ksf{(0OE}nD2$-d}HK%3@ZOXd{BP&CQ&_TpARYS0j&$frXEyIz}x|&LGCdp@jP%#
z64hG~IIkBJF39e&hUS0t_`>CW8xqa8CDD9468&pWqIxG1)w`1DUpEr{>rSHiUQqR*
z_8BN1Vetf`LE{^s`UzIgAAp?42|AvI0i+%l|1kA1K8OaTPj9IC#HI&OdjXXGVdmkY
z(cKH<!)Q?X4{A@mAkn?PB!;&iiT?A4-U|Y9A1M4Np+WHi(hu^d4CI_mqT?lqM1KZD
z{Rs<SSiHda=;;J{?kLgj34xkVY&r=g(fy$L5>UD#*8E5k&5we*9~K@k8Wv9I?d2&X
z##c1dd}7@X>W7o!-&hjOPlWbch;>gAw4B6s{yKELX6T=H4jHfEfR5L2K*wv~=bnS^
zM*-zmQ27XoAJDiA2hutY*!d73dC>Ye(E14<Ea#qs=AA*~`Y;;Qo(A!u`lf-_k$~0#
zK<4q7r-8-^Kzwk=m4Rs*Ip?0UFbKo<ZNbhhht>;_X$A(SX`u9u><;L<K=3|2Z2DpD
z1+60ixes(+0f>gq?}GQ8U^|}&W)EneKWP34H0}f1rvoYvLH2<18;Az+k=+Bc4-^g{
z^$eg5@C=Ca=0N&D^B180K4?ETn9st<2%5hH?PmdvPhxWqr2J!=20AAY<Q~wtE69A1
z{UCRM%mL9LJ~sD2&BZt`2;@(YdqC%5fZPM-voJEAV1TSg1Fa_qxd&9<fYJ*{9&|n_
zbl=3Z8xVEVK<6ot;vT5E814bt19A^&e-+3*U_NO7J;WXnP=5nv4=5a9>(e3Pg5Y!j
z@;|5}4T@(_`T?nj$%E#dK;kfefXs)f2bl+2*8oxv3P%thG!KU_Tp{Ly*5iO;01?k1
z{UCpU_FsVf0p^4DfkFD4BB1>Xpm2q{13upgQU`Jm=v)Ah{h)R9F!zAm0kQ=|!_>jj
z8;pjUixIA%^aFAaXxtv;9xxwtUIWxUpm}R-?g6D^kb6M;m_hCVt%D@RJy3Ho+yhEK
zAoqaQ6@%OZ=Cd#|g7$-h@&WAp5K#Pq?1jZM^jwf>p#Af|{|iim#UE@R0cd?K$bAs~
znCEDM!Vlyh(Ee$VxuA8LAoVbN2*o$VT+DMcLFZF}`~x{hghLO^N9-4v1RZC9pR)xD
zSCD!r4PPe*89!xagsHFOgRGMRnTLGt7FZt(;~da<rUV0X7DyjxK6MW?K0)hKLFxPi
zlz#<6W1L$J+9wSP4_WAbss|8tB5xoxhI;7y$26FF(EUuH_+o*Ke_*Hw&ATI;4;m*0
zsh7c_9@M`^R<8k3Ct?DjG0caa&kc7EbX*=>4#4sWhz7+wh=%18*!f-1@p7WoL&vp=
zRu3I#2CE09H&DI>(Xe!e?jGp)FIYXuy&&}<8khT_<FZ7nhmM0zgQa&EjmtgI@@Wz@
z{Y`_d2Ljz|5AsJiG+to-fYH!#v1u7ld8j$lo<h?Zs9gt2|DgB+<$o}rg^>}Io<Zpt
zI*$ZDCl{37LFocU7eLL0*#|2JK=m~!Kf}af=WapgpQeH86_7dT^Z%fAX~fL`Uu9rm
z0L}k{_E{s(|0gprFo5R&8yOfFkmvtFe9-(qXni4Q{vWiC3N-(3#}1kQf5rou{}&Q~
z%>RRE(ER^lLCE|+DE>k7|Df;#&HsaFZ1ex1h037$e~>=p`G1DP4o5-r|8e;r_(Ajk
zA=Bos2F?E;Vp=N+n*To_44MB2)yJTDP3X8M()>RI1Ij#clr3l+lO-t11U%nwWNioL
z`&nm$=l!$OA@k+A#tac4eZzg;AGH1hTeziVrh(^M88XxIVe_dVx+=pPJg;t??+%_P
z&WQ5>^F5M0GeGmZ0VY}Cd46+a$UHwR{0Pl&gUSccJUXbI2XYT|yqf6s6`*tl(ho{U
zApOw(($JsZhTIRu0=*v!l$}63yWsQM(D`W|=zKLJbbcB>uMI7Sc%bvr@Of|O{4^tU
zKAZ<SPYs_3hnmL?ogc?J-wib%K0gjM4?f>5Bf`LdZ@wEej{zE|8EQ1Bya$a>fy!xI
zG-!N}6FMG_nBM}g%fOf~1Fg3Q&G*1)kh!4#FNg-4176q0$^q&Jg8IkA+RueyKlb@G
zn7uF>WG}M)pnf)p58a1=F>VD?j~)&ncXMOdk9|H4W-p8enFq2DM1#$N`X9P~foS_d
z=dOXwK?;BHd>i`w9n4-B4YC)c4@86P2lbypeCWOhqV4C!@IUtXKA62Q8e}iXJ`fGE
zAGSUMx?h55`$6YUfXpFvod~FZ57Nf~Ij0cR9Yq<>2DuA4zKKnbg3$0Fcby1qK3|8*
z?h=B!i`aD{uzC3yD!W4%>JCcRiGa?z2E`wAy)6f*eTf`j<i;Q9+(MAK<gOC|)$btv
zTc{jvqR?<7cby1m{T|3&PpIrJF{r!H*L^@La>DC<K<8hB+$91zCzD`4%*;y%t&ii#
zV@OX0txqUOECQ|9<4DZO0j<~M$WKWD%a<hP<bdY)84`1HK<ken?Jrgi3#dJy_C2Vc
zaf9-m3=K^|>a!UPO+n^5nVN&z&m0V<=4K%MPR16Xb(b6r#ug@EzM&;({T~N|p`{U+
zZ(s>gZ(s>l4{5Kla>PK*PlD3vP&x-n7eZ-b%NJ$nxH~MpQ=0~jJAv9O(0(+>45<6i
z?>A*gEiM818`AG29M7Qjy`Xr8?wbL36RDA3RiNPk3U82k<kGP83QB;Wbnyhu--vr*
zOTg(2rXDn332N8CXi&KW@+XJ})oY+}R}de%ua0W|R)zYzBo&g*Q;Xuk>sfNL8InQk
zWMJtX<}Mfwau>)wAR6R8(D}I_z7FI(SE{*B4by$#^?-@F1>k&{%21F4%4eW)MNoQ!
zxerEz+y`<Ohz7Y6bUrVLA46q#szcpbkXQs-m&;L72?@uH)MU^)b`FNrWY9W$(DhN^
zbzd;|!Dx{CK<)z3Aa{b!{RQ!%`_QNso}h6ykhw{TrJ!}d9Pveo;BqgOArZ9hA9U;@
zQSJkcuYk;f?ysSm`!u292<=yM#AoJ#)}wPUWaj08$_Y?8MNB+{&M5}D?+=yZSqthu
zcs!?;fYW1IY9=^6GNfjL>j`4ZGtfE6Ab09O&gZ3CIBG-P2`_K+i^1{CkPj(ui48~4
z`N|;orBK;@po>I6=ECARtrSxJFqA^-Sz_G>+GheXX9|_wrwa`~nET?P>qUtzuVL%=
zj!@ZMdT8z{$%ou0POQ6N>jb}0*<Jc*?usvhrne%}(i?1Dq6*~vW2)r`0}S_p_aSi<
zr51wgVOTi~qe0~`C|!YQP<afR{{!(usO&C7ba#~(f!CE1>n_+j%N8Qt1xwG+@k(%i
z2UMOC+iu(f9bZV!fvkJYX2?$l)xWTEh9R*e5mZhWrGWRJa1=5Wf!o)`$vL3?D;x~T
zIYl7(@*-6E)Z7Aa`BceJ0IrA7%Y#CO9B@4kD;GfP6hQ4I7!8UiQ2c;sP<+AM3B6y0
z13KOfU*{X2l9~o;rze)?fbC@{%>lPV5$!XE0#N%0=C7pGj6{(7yp$Yp{hpW3kO`8{
z&d&vni*uCbWrFMZ#NsT_crZsELsmX0TtMweQo<K>e;~*o#Ky;3XnYW>eiMo6LHDtc
z;-1YUnh%=KCB^*pB$^LeM?s4DN1**sV#D(|iRwY~w4}J_6p7}8&SxOS{6}n%eG0_-
z_a=$%xkaM-ha{^1Mxy#(B&z>SqWXVO^`QPQs2>R`k3ckNTo^>d_#hfoPQlitCuKs~
zky)wP;Bo{c4=N{N<sEwbfXWqY=Gj2&6Jpid@nMgDT;@CANH4h5J3_;QSogS)xPH)y
zMEAHs*AEiw9tU>P!pEIN|9X+=9uFMqA?bw`rM(17Up`Rv80jlD8{8iyHGRR%1NFC&
z(;u$*hp8tvKLkR<58l5*>qn;+f#<0~!z<u^DzWhxL}I=OCQ&_TodmXW7gxB1k(h47
zq2WTTf1^k=KY~Q{G0=2Htb4*p%tvt~`WJK$4JqNDOrrY}NmQRkqWd#RG(Vk0^*K1y
z<0?1upz87D!)#Fd7dAdfY&w9MOKkZ8Q%`L9Q49@tjPb4b67YC_PEIPMAHo1yvCD?D
z|AQ;vmO{-Z*1zS@a3ofJC5h^*NmO4;qWXFg)i>f$k1L%uL)8<EubfnHzme4X3T8gB
z=?$iy*z^XvCkj;Vpy!kPyfkn>5p-TGzJ4ICbk;>;I_rUkBeChMk3{toNK`)whk9J;
zU<y<{?r==YBqtnU<`NtKF!jX7Kj=ahQ2fKwZ*o3(ygDs29Xzf?Y<z&$Yl6%nR{bn!
zcoD094vFgLk*IzFiRu^OP>(BpEP<-0G@N1P5St!g>WK~KeNcB2tNs9q`TP)x>JLNJ
zqlYW5a_2C#od&AciD}=1*3*)bZjV9TLu~k*g7!~|b^j?|NPmr3_n*Qso`frWPLtR_
zJ<bhr53&9|L1H`n2#M;?lbAlQlBoVViSc`zMD=$_RR4~|___-<pV;(vm&E*Xm&Ek;
z61vY9)b0W0M{3icd;%JuhON{1BLLnHhOurASAKX!V)(u$QT;s<)!&E4AF=uEAt!11
z^AWUsp>{lg>LXA*K<|6wh!7+#9-ffskEb;92P|Ab?I)0bVCkxZME`*Hw~|t?+<^KA
zmQJZnqx)wEiT=4sVmiJ>qWX^{meZd}RR5L4eD$3~^`QM_p!kNRAN2Txl~d4tOdKjg
zko<wJ9>#~o7fihYntGTzm_6v~9iZxoEk}Mq>j7fxjbG4u8{{ugxen6@a}SIUqCw-h
zzeub%ev{~)-z2){H;L}~OCUWUhXcsJjEqbSp!5LpKPY@a@dYYRh>eebB<7$01k|Iu
z4|EhUDegnp&rYKM(Dk!2@}PtdEZyLuVd3`#dS1j&0_hvwJ}yQM6#GEyO+fKNE)8=J
zNIz(uq$uRvV4~X{-$;xvUPfjV_rum_eTSSo$qvf@p!kID=iz|v=iz{!U&#SIzmfxb
zekBL4^Cw{I1rX~9;=$vMsfpn8XgP{YA?tN=^79Ko>j@b0^9w-pe3=aS1)%W_nEsqp
z$oiT10`U2t9GNAR;PHgw(p>QR5CewXc#wJ+-w?`&t%px4g`7tQ-QP9z&%=Q1@8W>&
z?}DF$u?m`QK;;A|{$S@|JOl|aFfn2~2jd2ob1*>r&O!S{VKiu635X9ihJgv^IT)bx
z6hP|8IR^uDZw6?;3g|u*a?ZiPrXSkgf}f)Sns)}d59SZ#b2PB&2TiPj_WQ!rL-GmY
z{%b<|LHG3{>j&j;Wcy*}fyx(<IOv`M(EfGUJsmK6LHQ9R591TE7j};ZOg*~2;E7QN
z#68k5dqL;JBD)vT4o9dXWG`sF1+spay|~;Dvlq6%8s=V5y$13xEL;fL3%d^irXOZ6
zj1S#M3L2gS?Slm0=Ku<4*t!2ObufLn?1#A*c25_&K1eyv1iv2$WFEG31-%yvo-RT4
z6v)3Y^9cF(0p$EGxPJ6>L`c62ntqtQ=;q*ZKeS&4w;z_Th>fob9QLD|gUfyqNWBfW
z9~NJ*a3th^XuS{D5A#1P{$T#VWk2*BE)4x3^`Lkpq<;gN`(gIO@(CgRH8}L6=U0$>
zu%$nkeV~1zpnL#2PZe}N1*lvAol5{cAA=DVt_G~&{sqQ46j1f>d<8R?So#R`ek&J<
z`Jn5q89??!&)Z-G?VkjNC+OTQP`LsMA5i@Oqe1eZa}QwQMXbFrbujx2p!S3IFM{lc
zp5MU;D>phI@)-Vys;Aihb0Fr6fcCk8?1!EQ!U)R`TOjfn_CwWEZ2t*}`6AHsmGq$J
zi!g%X7Zm;vAo3XYL)BAk{}+h)BB1sI=sX^1{J_r#g5^sN$UeJipmQ5Q@eWJhp!f%+
za}W)3FH}F=T~KqU$uNLUreK=J4!JLD7DzqlJPy!)B2c)4`7Df#p#2;mK2#s00>oYs
z(E568?gNDb$X*MGx@n;G(WJN!YVI@!H1~nZGm!g0``kh90rOcH8A1Jc5Fe_KF#uw(
z2<Sd7Z0?f;2ME)&1c<t6$mc!5!VN})`~g;u)INZki{U;{ynx&XJMRh1XJG{2V-0d2
zR3Bpn#9k5TIZWVlTR{04)V>6jKOldB&esCPC&>N@5cSiL&s_qk2c6dfawo_hkUE$<
zVKmHqMuvmndL9<fAag<Pgq^zt=Cd#|u7KDh0zKad6r3P^@N@^d{{&>u0f@S3kaL(g
z!0rdR1C(B1G)NuH9UyTKA8IZ}xPi(qkb9u#HtB)+ER2kx^VvY*2Gz%S17fcT^t>hv
z_kq$U$es@nb<lh7iFY5=TnzVt>JgCpVCU3;`7Df#HQ@4^Ll3Ht5ww8|RByn}p8=&y
zP&}c>D=a(|Ap8HOf$seQ<qw#8SiHj2p@$>Pd`7hV0cx*++zC3b4-{@-J_{ow+Ie^I
zdK%q)Xu5-!69G{7!R!a!g9b{Uu=|u?;^^jp(i12iVB!@x%;|xMi-7Kn1Nk4;KY@i8
z=svXn{{^P40jm?32CIKS>%u|q0G*cy(g)FxdCnIo9f90&0IZ*58pz!s^)P#2;S6&x
z%s((1VlL*nZlHPq6waV?qd?&d=Cd#|-hkL6vI3GWrosA4F#mwo?}PmF1*}d0ey$PB
zKcMyKAooG^WBLaapCJEmK=!Ro+ks{eA^$+k#XPqR)UE;fXAi_YJushzkr8s=63G9c
z5Mcu6bCCTY9*72&^C17g;u+Q-fXHK#u=B|jAnpfM*P!wRexEDUJjO3jcR);Ff|oy1
zU;(CS77+c@en8Yg?=|Fr*T=Zx6Kd`>1_n?LLezVpb_K})pmVxF@d@UG&c%b+BLX_U
z5hM<_o&n(=X|Mp(v;v5_X)NG#tvIH^`njO=2Wp?;au3v84EKQ2ImkVr^aHXN%x7U_
z?10!K0y>WaTfGL_=MIXO6%cjPK<8F~(i5m01(icE8kc*Z=EBPdSbYI%SAyIFI=2Ai
z9xxx2J|OmpNI?9JQ69s}lN%6q(_|p(!1)m59#DP+(YV|LH5bD@pm7n9dlVq%>4Ev6
z^C2Pjh-g5>G4dbOJ(D2mkZBrdKoMlW0h)S3`3Pn{die`77vwGzh<SQoKIpth$bLEz
z(0Puac!RnFo~}XXCV=A20iq6^en9aC3Kv*?3Zg-LkUDU(V?d0%K+VNCUlDYU8pu5k
zQ1^iOER2j15PL*iAmX6ymY{qHcMqt31KCpmQ8&#4q7Iz@LGA$McMy%sJy3Ho+ym+#
zfZPK*uO5_+z<f};hS(z#0I>(dJ)m<qK<-%pQ8x{AemyDS12q@JJ)m<?K<<fv*sBNT
zvoJF5fY>7fS~m=`2b2#%=>%4eL*s7}NIl417a;1VfzHQ7R!=A$!OTZbN1$_2K<>(c
zx(m!_VPt#(u}1_n9tKKB&~_g@-XH}k(=-Oie!poY5dB2Q8`NBkZ~}!t$UPMh^Yp-c
z7Dh%1h&>`T5OIun1H~^Wd<-D!rh(4&1ceVM-GI^$p>zZ_7sEXue}df80<l*Q%m=lz
zA@+!X)?I?a2O3ZC@ByWNkb4p!>ZXD2lOe@DP;)Wd1IoW3_e_Ag2h3+-WUPSLBLX_7
z6q|cM@d|R!1c<t6pmlzvxCd%3hI>Hu0LVRapzZ<lSr{3i^BL11?H1u#(D0cA-3JLj
zmlV{_2kD2>@N-E);Rm``6ja_KpF;{($HF)V6yG8Y%vm6Hpz|9yK>Q^FJBM@+gbz=T
zuylZ4p25!Lgt-qU4{QIx__)TkK=-qP+J~U_1jrwUp!UM@F<2bwUP_pGFnf<f)x+Ee
zqhab`G)(<j64hTKQT+`P)!!pgJ*D9c>Q95h`7zX9SU7{l@q{zXUTAun_5!N^50r+b
zOVGd*sQd-(HwDEjs2vEh4|IMns2&0HSr{2X=?|3dpy%m<$3;N-3p73gqCxF+5DmLm
z6jc6z_|S568fcyXl>cDyi+*k>sJ{$S52In`!R{4BH*W<b|H0cYF!P}2`{8t-0ykuQ
z5#78sILrfu-xLPsEKs`@<bT+_7F0hd_!u}Cn5o{Lhtz+}nUHW3fcujTI-UgaKc+au
zADH4Ge@tLt&H}Y}k^KQpC*bi<4+dsN*!UP!d=jYN4~jR~cot;*N&q@OAv_DzP6wqI
zP&xpm7cifNkrAY?iGfiQA}+uPDi=WKn<I~RHG$f1knsc&n0=szF33LE_!MM33Splt
z^nP6ExR)N7&%($k2NBnVh$HL+_4`5Y0?UK?9ccDJ$Bp3Q5|HsFgngiUXhHsij$`S8
z`5<>g?SqIT?1P>Ut_Sir$bYc$D474y$BjVg9n{Z*jQ0r70*xnt?1PR+>4Eu3<3})a
zpyM`hcSFW^5bg$@n+h@qVlIasn9st<2s+0J6fY2Qgu6lg7f?8X<w5;zv~Yrs!@%u>
zjMpIS1EmX)eX#Sn!F(1*M$q|0Ap0QV2>W2;J79ShM)>#+%zvO>Bq$xg+Ha6?7leJF
z^MpY5LC1IWz<i|f7})p+%slk*21q@PFb{O@56C=FzX=o$U_K}uz~c!V@brn^&xiEu
z5$1r>H^?05_<<gn4@xi4{u9hS=>26#{}^Eo=o}r8InaK%9+(eGzi8&5_j5t@19Ex-
zopS>+2ikwu1M@-s0yJ~b`=^lpD8fCU^JqZkK>NLVU_Mem73MGWekG(Ii7*G0zd`0e
z`>T3jK2rY@W)6Bk5Yq2Mm;*ZJ1!NAif2jxNgTfiwj)$3p-hYGi*AV7_&Se3a0~%KV
z#XFdf)Q^LigWm6g^s^A=fX+_=nFH<5>4Ev6a7J?vdVdMhKSG!TD!)MHK>J~OU_Mg6
z3FaR3{tcu*gD?klJ_*PiXunAh%tz|yz|2AKmq7X<2y;O9!-33!_ILEae5C#f%pCOo
z1f>6fFb8yR9LOAKKSdABN9tF=%t7x5K-&EXb3pY0$Q)?@LJ!PG>JPxoL2ti9+Up2&
zOd;hrBeY+j2j;UdGQ!%`koJ$jv>%)d44`(A0i@j^0&8c3%6CZl#J~XSZ-Lq`u=dRj
zs6HF0K3F>$nqH^D`mfM-1iXHS>GOc<gSD5T{soU8<FhXWst?v~hK4smeF;!~u=X=F
zTnOsRf$D>`qoL^pt`8a?82+n(>VvhXq3NBVz80uHSbG?nzTx4C%f6q`_yDzoJQ$d>
zK;vx4?I377l@TQG!obW3i+>GhdkfYMgT)uD9X0{#9#Fjh`_BO#Hx+=7n}Wna=>l4g
zPg@QiR~4Q$2dWR$&IRd%)E5HNAoYtNI2=Iw^uX;>;aMx7>Ot*rka|dYE&v|S0=3^^
z_CUlr;P!ypT_E+4`VY}AgxDiIYYWs|XuUTLbPydVy@TfEZ!<7zLBs_ZLFEvr{RS~l
za2i;ig>f2eydPpd!rh?r`$6VF)N@RO$O}vZ-KPK&hvXjtcz+e<euy|?TpT8jiv|sF
zgUkcD4^;1=_a`CZq?-rzH+23|06HHa03C-GfX6eW9z%qy9W1`Uv;aIFVd(|r4}9@)
z07rb7GcYh<iw}r-g44kAM8wA#9Og%Z3}RrK1c@iXX^{R7=6b9X|NsC0`=0}Tk5nSq
zpTe_1?d;nOjEpdIK*s(1kK3FHILxtx_><8JLSv*qnE7CN7Dk-zj6gFV5}$~45D#^?
zHN+l9u)Qpd)4+Td#%Zv1M__-kFv8;zmaakl25j*Gb01h9kNXsebe}EMePEh}aheab
zJh}mmM_Bm*DX$Uv304k5#1ZAe6R18|IRa_-VCsX2BlLZM>VxHHNIfPn4bn~%gqQEI
z@(L3FnE4(SJ`j1_=>ybm2IUh_dHnak@HALDvx9~QsGRuspF<za2etda<toA-AoD@x
zCrBNro`&%W(X=X0Anj%W@cay@oPxOnR$df>-7h=~)_+H@XZ}FLAEXZyE|B&B!oRTi
zhKM8Lje`d~-T}Uc4Wtj|4p4s&x!!<?BlLk5NP*_tVd(@`&Vlj~vOb77LZ1TEK3F<|
z^kW64LHfOz=>!r#2!DY5H<;oL(w-53&eK5ZTTuK#+A9Lkbr1s3`3YG2QUJQ1L;$+}
z0JDAorE5_81H5jUjkyc7{+*ANxf_(9LG>(j{1rZKq5}<A(0zb^|8vZRs+-%%18$$r
zdjTy^K<#-@{J`c@T%h_u_ip_C&jIS*fajwEpyJ5+@$Y}ZX|Q#<v!UgqJv6^T>=l@s
z4Jm)-fyO;R?Qlm(JK77Zj)jpCbdSg1{{nNt;w+4Fq4QmH!RlEU=axhD$3X1|jn{$v
z1DeleU|^aHjsLk#P=A5%4`F4_1l`jH3a<>PK4^NM1o5}vG>AC@(-uPWkrTvSjLr}`
z63k~|WQ4Wzz~U^7pkWPgc=&?%)i5)bK+XRH4o`%CLE}Oo|3brYE`%1C3l?W#guB-t
zVonywJdk@Epyq+@nQ3BRw1nzogywHXbbH(&?gihI0ty$XzPVs=BzwT(ER3My3haJp
zdSC?E53;uhYVQmv?G7<l92!pGbyFbsK*bqh=?x;!F&8wB)x^Sx5g%anNZ|&J7l?Nl
z7#P6u#m1bu1ZuA*$aO4?pk>G)76a7(4A6Kef$|wa<pXH`V*^wlRDOaNG&~{cf`I{~
z4&=W*P<7CB09O|TR>+)r0xA!27}K;X5dJjK{1K?V2r?IB&I5?J$QvjP&WCKw6G8h>
zK=~0={vqc_P<aL7|A4B8g%_kh!358bp!fjgH)#8qQG^##4nF{=D~@Tfb?C5s3UUu9
zK0xOD{VxDdAKnZM4ACsm;dij#AOtAfn;00y!TMMj8J~d76_^GVXJMQMT4w?(M`7z<
z6rlD)@(ZFI(1D6W@-0H#0xAxPAC9?D^Dxo_NS=X}IWr9GEaprXs6J@COoI4Va2iP6
z-~WjG3CbU!{Nx8omyuvT;yyn{usBjW3x}iw#sH}KpmYujFNl8-;e}pKfzk`e9*8=Q
zxlny`!Qx2y2`rA3pCIGpOpKs@Itv4H7RY{3dWnJB9|&=`B_!Ms_M_)#P<jN}4^hW4
z7piYASRBcIus9C;LH1@q?Ja@Q!4Uh!q2bC1x(5;z9#C<3eul_%%mvG1$<JW*ER3LP
z1C&1*q45aGHVh2l{K~+_oY?@i7b3v~p0@<0(<o@XK;s)O4yso`^CF<}Z5{^ZERZ^o
zKYF0%go12iVHAMGivR;8d;}Pv{5&Y1F%D|}45&VEGGkzx0P+vWTnL|m0i+IO{t~D<
zs5`;xI2f2A*KWZSgYp!Z&78RbsvhKTCL-LE2zAdMs6JfwfYgE9a{{UkY#r3yp!5Xc
zBS=s<fz)4tsz){#S$!;63v=cJRCUwdK=|<T4HP~g^Zr1@ML75%<qx=AV`H8O+Fu7M
z-$3OQs62y}|6t=8m>5N%>P?_DNc``A0eF1_>Su%WWiv1^@GvklLhJABG)Va5fX-iH
zVPMVy%}apjOa=zfJXsDXA~+bBLCsHwzyAetLFz&7bb#6ea?k(&0uw+MA;%lYJdipc
zs5($NiA^2IK9ITys5(&jjZGcMJs@={P<5bn4%pOz+zV1y096NS*TU2x#|tPNK<a9s
z>X7|`tPbpP2IkBTs5)poPn!ba!{ZO+e~@`AAmSohpfot%*qA4R_C?~0zXMS9cc3&V
zKZ4dhL*h|z8f;$?tepoc-$4C$Xg;0<rQ!F#g5_Blr$NTAg=c}<^`LSMN<*B>zyxn!
z6+z;g5t>f2^P%YiDxL#sc!AOdsNVyk!RD|q=7Q9L{0&;y0P25$+{?hgGyznwAg2eA
zevrB^Q2#;05knowe2_W@en|R<`U|WMIbJ~S0;%JHszbIHSzR$$f;m$Hst#)JG!+OR
z9)BSBg3L34h>JKtX>h!;F;4{TXT=wPK2Y^3P#RPZfy#GKzW)DT0G8hvLFMXg21XB1
z1MByH4sd-1ns<cy6C)nM>XG6RlrBK=2&Lii2#q(!Drh{y@^=|D9?PLLXdVz0e^7Nf
zl@RqgVDnfQb3y7s;ZOke2RQf`m?nVkH%E?7ka-|=HBfcXaK%suvJa%L1F8<zPDD2k
z<PMO!DNuD#|A5Uyj!%%gLFyJj)gikNSzR?)f;n>yR2|fP({@1k@OTHg17zM2h`7iF
zC=HHpHs*<-dJWWG`uqR?e;%ZJ<6-dy+y9yX*<TCa-v?PQEIg|XQtvZ@X%<FEGGkz3
z1hq3`7?{D%`tx5H-u?sK*9K|_g5nP}jshu{5aVE=eOaLX;wosr3G7WM0Wt?R4v20J
zr2Ivg1HE@|8ZL97?Wt+#=0M74ggMar^KhC2vKQnZbaNo(H^Lm~J$N|Hf%LzbFx&$v
z-x20O@3X@&2Nqu{;Dm+fUx4}#Aos!Is~s9&U>YgDK<9UfFfhZ{&q0EmX&PwV2dIAn
zn%4&9OOQAy9zf%rAaf(Y7Bj);JHYCY)&W89DViq4!~mYR0_g`~ur>xJaDN$O4$PiT
zsQX~@p!PGed>2$6OtUb~&4KpcLHF$<yAL#O3epz_4G&O*5|pmM=Cd$P1FL6YoR$qu
z*SOpVYOjLKfw>Pf?+>yE<~~sS8d<&<>OL@y<i0+rILPmy@B@v<g7m@M2b#|TxeshU
z3*$7fdKSiM)dbvU0rg)!R2{g#%fJMk?-8EW549Ieqs2F<e+%;msNV>356qvSad(hE
zVeSQumw@D9@eSMW3|5B}-z`vgz`_%hu0Z7v$Q)!0vlo_LVeLgwdk|y~EWJ*Ix*tp<
zx&Jf+1A`2n^g0Qu544Y^iGk4%%m*FT!N34I4|^J@e*>}y<{wZy2o(Nc^+^7K-n)b|
z{esFbkU6k$1MOo$4mWgrK;<IH9<Vwjd!YB2;j{<TZ$-8TbgwkX9#}Y{#~)|_93&5>
zk<uY(-v&q=6c?a$2<rEN%!Q>x*f;>#d=^IVe(@$2#%XP6@e0xp!Z7<m{Une%uy6qF
z*8$lBlSj|TGokSVrjgt?3n~sW8{|Gve-LCY%zd!^?qKs-7^i{NvoKDZM!<dGdX526
zp3R2Z19KmGJ_fBT1-TzgBe@SW-~bYbxewI-!R9`&`7Dgn!0K5Tr_CYYKJ<JHD|cY|
zU>?-HU>YqSAm?M4K3F`=hw6j57u2r=xfho1VdnsV)gh%v^n47;Z^YzdSib?(F96vC
zb3bUD9u#h18p-`<A?-Gt^$uwK6r>NdFBz1N!F;5A3~B!|O@sLdWIiZ=fz>1V2YNpl
zJb!`gMfMLY9l**XSo;~&K1TM(VrY1RX(WG~C*Tjz`Yw<^VDSK|Cqd@I+zo0^gVs@i
z)gieXdLJp=-5`7Lxf|C01GVo!=EK5&Db(Fy8p+)k3AlS1R3FUUpz;J{F1owH>X6*M
z2ra#X?7`-4J!t&}Tc-=lr!aSe)+d0%4Mc;+LqP68#+Sex=1f@p!OVlL(}mpI%{0w~
z#od8{fgP-jk%65FG@i@^<ugNR7AVciz|I6(N6*H<&IFoAU}s=w0<Bl%fYO`{>`b8c
z6Bh$J6Ug7(P<`@HJ`V#s6R6$E%fQaGje&uIkAa<uotc4wA7maY1A_nqJ5vHH1A`!x
z7Ghv$0_|fKW?*LmwTs0V*qK1VA`Yb`pyo?5urq-g)QSx3OrUcDl%V=Vpz=}->`b0p
zoSLK=*qPjw?%2zK%;%`)lVxCMy4GGWM~;D==@=UWgD3+#6KGu}=-zkeeMl?}`9+|6
zAXthj;z9Qyu_Q6XgYI7fIfDUok9}fpQV{6AdgDl6HxTXb6a>1Tl*KjKEDXdqwn>Qs
z(fLLUQOpc%ETH)S1_mZp(0V$MJ*3`G4~kZhy~$yYpnFhQtP)*7=V-I!`A1lQ?1>9=
z_XN>~so4P_+Qyh60Aw#{92I0Q%zwot;PaMPN>VGp{>sc}C;_R1nP25<m;lo66Oiu%
zqSNDCB0#jUe^nfaHgU@`1kv8c42B?mF!Mp{`-t-w_}nIz%#sYyxlQo!jCMB$n`>fg
z1-kc@r7FS;?2a)1ATN-8sfJz-AUevB!2#J`(E1VL>@5YIKh08{nGU8?QyB6<=D_@I
zof?$|GT-0G1#}NBOO#8M4Tv9WU<VFgM;{xAK0}B;n7={&5lZX_rC$aHCN|K#2XW@0
zh95WsGcd71`)|y+;uqQvX9M-ah_eTL&peBn2_&Au5yim72Fhn3{m}EXSc+4NK=(Yd
z=%=J6fx;^<U*8{0r@Da7_hQK`OVtPQUGlR_L3D0v9yr~l7U_faW#{LB?jK;$_Y3g@
z$%pEDx`JpQS9fqaFfue&0PzEg^3y?dQDQDweNhr598wjs!S<w<6hp-Ilfd~RJ-8BV
zeq~82=pHf_{emLU{bMZY+2H%tSW1fZ!TGl$z69)Uy|N6@y`L-$Wf>`;d<IGv3=B;B
zVKj7K1rMZM$;|i_ay}Xlq<zlJ2%0BmU|{Bks^f<AGnu&|{SRhtsCsTldxV)A(jH*u
zhSaCb+>rW-nHy5xGjl`AX=ZNFI0VStKdcN43ea*5be<PzT_xz;KXe*24+J`Y4&-iJ
zH0T^b&^$889N4|?u>1XBG)O;49z=uHgX=|B4$wYs(7ALVaa`tu#EEqWFZ4bca_@5o
zjT3;}3GGLKyB{F?ak-CJckw~pMeKd<p#82OcYxOSQ8Rq_q3(d4Ul*TL3QE@;3`wQM
zp!4w{`I_+k(4c*3AouAog2!2@7ES_C_mO*_J7|0f<Sx*<Lu!VbAk<yt-scYLFM!+y
zTCYP*cY($MK<0wVZBRNzry=zLD+g#@8_3>0(D*{X{~eZ3VKgYcgUkidp!^EjUkT!a
z?$raC0}=<>hl?(S-s4Ja{s5IbAoGb;FU|@%PueZs8Pu-e@Qw%9FC3=X;Q9r$EduMk
zRoL7M@*n7&Dv<pM^GwY_=SG?_n3{vme*}dqsC*>WeUcdNGX~ZB93~9L7UY;Gg<+nd
zCCGh742G8Em?w>4o&nfAGX?_`^FZU%`0oFN#oG&Lx(cdbums&#R0O4=?O*tPpwM<I
z2SaLVDyY1Hv;$Z<K<7e%(p5hbq&!K^$p)XlSx^MI|1`B2a?WOPNhYZN<tWX8mRmWf
z<rcB=lLXDr=;kSBLhcz&O^FBFZ)w305AqKzA02_Z50s8T=><fC(hrCR@sptS8jODf
zi+Yecn7o^#PcSGRT^W3W$%#i$I~imivHk`v2qQ&3XkQB{>Ot*iQq+U?sgR-`v>$~O
z^`Lzxq^O786G?1%g3ePT#e6Ru=QD%S1A2K1N>|<_st4^;BE>zRb&c56gUUN>?lC9v
zJabDD)msrbZx}h8K;dHzEyvK~3zz$CNHpJ;MDy)P^shaM>YYeb?@FS7-AMGWJBj9d
zLDhrWnV|FqizgTj8lM5xv#|Dx1LQnP(D5=1AoZ~LhpC70K{O~mctg!6Ha&paJD~Ir
zGY=Py?p_!lMuX}xQ2mubqI-Qw3~xUY{pSz8*97D~Q20?ogW?6GALP$DB>FRmM1KZD
z{Rs<SSiHda=;`DHiS7x3non#x2_@0}p!pO~x+2#6ND|GDg1R3T9xxgfPO$b0EFXzL
z&cP%)A4NmWC)WL-b5u$3Z!DVmpz$V9`h>Y3l%GL-5Dm)Lu=X0vJp|Q5%?HgNfy@D|
z2M5uh^}HY&v<?kKgBHMmXwZ5f5DnTV528Wq#XvM@9U6!Rg)b=mL+3F$K>h{g1DJb2
z?gXjB<$l;bsJPCvhmL;@{qy4?<6j)m@h=YO_!s;f`F3bJ1cetUy@1BKIFQ!Gz|PwM
z$%ED#g4Ux1U^zz~G=Bk_CxOwRehP>W)ra#OdGJ651Jg8e&XH$f5QguABj+4>Z2F<;
z2{P@2bS^3=U4i@q$;V9Kb$OumJfLwQ5Dl{*=3mgd9?&`x$UaZRx^B?=0nm9#P;(eT
z=SzUpLFe(n`-DL9pmYlgZzv64M+Q1a5j5WiT89JLR|P8nLH+=l2ckiIkUL@SfYG3E
z2B`<#{tA+Zoqq??2bymJjnjbk--G!qjEpB3!2LehImH;~UqQ-yrfCl#>ZXCl$wB6W
z><766WDbZ1@j>>%+ySFO<J2Ja=<Wge7vvt$`6VFtfcY$pjG+Cyp!JBL@&Xh-ko=E0
zuMoP=1%56b===+i`5^m2?jYnIsJR&DO@ZtIxd*f#4&)v%AGF^M(!Uk~^{-&|fZ_$V
zp8z5*2;N@{@;_(*1QgGp@*kugCJ&k?1c`(E30fZtG9RQKbZ!F3JkUB6kb00mKzz`=
zDabz{K1dxbJYh7%T+sR{kdG1PK!VhR`~li81M&x$4?52R>JQNTAShg+?tsrvgVcfS
z0qye!*$>*^0CNw>9UxmkG)x`L9WWYdE=IV5(htZzpm`RMd%%1aMn=$nc~Crq>IrP_
z0i|P*dqDdtLGA&qTP4LkP;)Wd14=(2_kh-cgWLn=gU%y>h7at#7f}3x?1jZMsD1+N
zM>_&mCjc%NK<<O>`v9$n2DuNSAM@N(Q22q=UjXapm<C!`3sMiWhfsV&%*8zS6m*^#
z$Ul&CUpVx@e8m2aNzm~j__=7Ha0RJ{((rYSpz;m0-U6n+k`J=34P+kjIcZ>hER1tN
z<IoZe%vm6Pp!x4N5P!kXNdw(?1&Uu5$T$rATvJf|gUV6RzIIS}$U^tOfySRf>Sb`K
zht5|`gQ?ems1q@P&=}@})=40n4_*Hw;)6pyXgmQ~J!l;O$UP}I)Pu&&kkv!yQ^4f_
zET4dAP`ra^SU!QBw+5Z(AX+_iJ_18M`usm=T^hdmf6zI1p!xsX3=9mQ`G3$pYvlR=
zWCjKX(ELB>RCVO}e-Ixu{|{PU2%7%~t)l|X|J$)c=Kr7ZK<5921R(SOAR09Pe^QWv
z0W|*)DmOs$|DbXQH2)8xvCaR3_5pzA|3UhY=l>ZFI~)bg|HtKj;0MkBhfJHl8Z`fZ
zh-s}Pc>Z4)GXD=M-$C=5(D6^C`F{om<ay#)e;?2|Ba4w$Ja}H*!XqddG%snAY6+gV
zjEnLI&sT>TF?fOW4flC}&^i!o;g*(}2A*$a$V|(J&8LFsstj-Nyt;9|J9wTrBhCZN
z_ek>00EJ_KNfvmX-`p57&kqYfQ2zmB53c!bP<{c;ql4NFAa&4jYZmCZHA`_x3OL?!
z^3%cd!^x2O2l)IpC|!Z{gVGU5KeT;6^yjxB_d~Hj?}q|qC(zC=_`EiBewqh5Uk%>Z
z%D})3pVx-YXY)YkrQ!45(D`Xb=zKWOE698}d>$NX9yfG;9OryD)O`5-IMh7&ytj-9
zar54wc@0p1Z>Z3q{sO4~2`b-l(V%`bCv-d+G0%m4-VC(f9yIR*qe13^`fDH>Yz}mu
z1Jv)p)(-}$Lw5&A9~XxG*yq_`_QGh8dC2yI`h_4qbRPo7xCTf)Hv73T?8iPY2eTJO
zgUkcj2ckj#0F@sgK6L*A(e{JRT?6Su3V-mt8~QvR%w8A`vKOQeM1$-H^~XSb=)MP{
z?dQetKlXV)n7uF>WG~1*5Dl^)zD@$#pC>l`fzF)(nFCuV0x3WUuQveo7eV?MAm<c<
zx;H4}!60`b$2YO=5rl>Zx$8w>^ZGhec9#&;UBs>zfz8jyP}v>AP<K$eUIcW`H7Nd|
z>uote{Z8cgA~*g(=N5v@C3n3DsD20O-$LbZ6NQEwx$8wh>-RwJdO~G)i9y|kzW#&Q
zd<a^%3v!nT<eW@``7kpt9kh;)Bab0H6*RwHkXQs-ug8&?lLK0>$&sIu0+ugH%*g@G
zgEJ)N<bc)%K-yod92QV}K<#@_J>v%DI~f|9g4Aa-7@C62buu*vt(WCsFf}&=>31@=
z0Ij>^U@*2Y0rL$lLF@lG7z{0qz<dKsh<XD{uzE;)jg=z?YJL)wPKVMtP`VIG6I;G0
zL)RI=(mS<jP(K#bPJ#C0IA%cIhkm~)Luzpe$ls8DG~x0Vw7wS<&(M7{pzbzR%3Bp^
zc!0til>f=4Vd)i=072>E37WqV_rjKd(-}-XXuc2Bu7S~@atGv35Dlu=K;w8IK6GCl
z)%>jr^>;}sB%P-g#e@2J968wx$;j(VVD5s^Aa{Y>1EN9h1D&4>;_E=pbETU5)G*x#
zUKf~{TL8|NsSE`<pnL`zrvjxnnEPNf$bBGpfoPCBLFe;=_%T#=r#jS~1&KwVeiuhc
zB_td(Qj<aJ*f|(dlR@k3LDxrt*MGs>2ctpm1Gx)CgWL%^_ZP&6?n9$mc!I`-K;|YT
zmV(v+bHo=Vg3G;BhD6Z1f6%duM7a+%4h%8}y1#~M?$d;ZBeY-55ucd{T93}bkeQbU
zDknhY6fyA(I;R-qzCTosXDz7v;PISV0#1)<shQyP$dH-|t|y2s&p_uOgWRbDIiHtm
z;iwIDC%nAPhukO4kPj(ui48~4`N|;orBK;@potWaxv+RnD}|Il45g5ImRR?J_L+dp
znL=gv=|aN~=Dv97x=~`wYuNg?BUE;m9-6yK@*($$6YDP6I=e4ac9%YyyW)$W>8*&g
z^afkkrvkYjf@=A}0K<LYaWamg)IxAQ3@e9WG^iW~r7I8(Dvv?)Ng#d*mEC2C?ymA8
z(D)tE?t-mTY$4KJu=ETauLSpZK;<d1?Zz$8@s;Ep$oki8hWunu{R=B+7!peoLFIH&
z3V8nsM<GKIxP4umoCDgw!oiT7Qv{MPFG7`1%`E`eLzN5#;CcwXJSb$y0oU`easf22
z4r(vKXiz+X;s->7;tS?Z==~xb(D82gdf)hz)HF~#J+U+gY%fD;4!9kPXrD0@fZ9JW
ze<h`6B!blErR0F?_q=q5OpttbK4e{PX<jC{o=+^!0*wcA<S}IBgTe*WjwB^~LGvUa
ze-IlVYoYN$toltPst4W2LW+AflW0C@evlON*OO>IXq^Bl=7Z)hNl||s+HWN`{6YH^
zNHPBuiROdOXCTG=M{JP&3dFkqCW-F3MWXtLB&z>LqWWJXs{c)*`hQUMp#CqY9|<at
zKs0Dv7(~PPAR1Io!Pa#rWkTAKS*h9Jas(s~Dkov(9eVtL$`x$p*+A<PV%6L6VUK@Y
z<~!g>FSyh@Lc@bt_qagU#}TXEiA49fk+@FTft|GQaVOEgUL?B51BZG@dSOLrFM-mR
z4^%xy`by0P_eV)hUoi7P{cYs*hb#VJ>WR${fza@S_pi|U(Wyn?d1}z`3b>z2Y&r=d
zF<%6es6K>5^<gBY+i+;O5bNJ463vewQGE<FT@mY^FcR}o9Ett~-9v+|-ouqHVe4Lr
zb$=p>{!Js%{h1`1pH8Cs931L#l^c0b^?34OHmLmz8xJQo9AV}XTYkXQ6I*^1L&F_o
zd@H^LJf5GElM3mFFo0HEvf=Fi;7T{8Q1glPZ#gs^iB(@oqWWqQ)z^}!zMe$&jX2cf
zN@vYb^#tQ9Cl%apB(=VRnNMtbgQ+Jry@BqD0+l=H`6NFt4ct!zt<%TX55$$ux=2iC
zJ<xC@Hl6j6sD1*8>L=k)k1HKafvU$Hj%k_Xgd@ycV&fmCp4j*YUC088e|Y*$&IgZI
zr)8#t$CZeU570VZkU7Mvp9KvsV%5(fQT;p;)h{4X{URLdaixzXQ1z6CGt3-f(*sOB
zvEjTA>P}+SA0RQGA0koxVW@iaaK%;b9EP^jK=nE??R(IAT2j*OF{pcp4WCoc{wcBU
zKgA2_uMzA1Q#i(xaD~rl68opexgqW$*1so6Y=<8qQT=%m)8|zZ)n6wues7bg{tk)i
z-;o$!ccJDJo8In{m|yOanBHDO_sN3VJ)rzZZ5otMK;zS}bsB#J!26vr*6rcS53fiJ
z-`6Cnzel3_`_T9!HoraOBrShFf|f7Tjt5YE1d0dfeQz8Qf~3X66B7OLlt%u5g$t<t
z1o96oU3HM?pXVgT`wggnVCj_FG`fFwkm#SAB>LwTiRwR+SWbT?QT<mE^VN3})r0m!
zf!YzU^n)IMuyP8zAB;mq2$Db0)x-F(_=2f7KvNG>2eSuVy#rJ|vE|4wXgxq|z3~fL
zZ-e{=D%WB9VD5qOK{RL__ZNxv#%~hc^P5EX{3g*oe+i@q<ZuA_mywZ)0hAs<{s)B*
zD84}D39<3<kHq}*pMZLF_koTgCdGZ|`q@eJAG&^4Mjn*#fu$Q<G%Wm{K+mK2Ng#cr
z+sDPofnpzMy$L8@$faTK0qF;=lN5!V8%%V&;~R<b#mmTy;(plrtnZNhJnW$S4~kFd
zejX0!ejX0!`IQ{d^D8-^=T~yzIxhjXUI4L<ARav4n3@PakCvmj6tZ3?CqKUcw4Q(=
zKfeGp&zH%NUjQ24fa%Xkg{+^6F94ta$&p!72_8=<F3kn64>4fKjR&cR@eQGT*n0S+
zQpmYv(EVLQ|NI8X{w@ya{x0~r4XdE(22@Uf;tzIi!$Xh&0}~^*a~p18Iky3{j~TRI
z6-I;Bm4NtQV;Golp4$N0pAS+;&bbYsbH73RRY3cFVdo}5>nr%V3!wZAG9Ts+=>1sW
zeXiK_L)%+${h)bgQuKo+)<FBZVfI1t2@~8rLiU61>qXWN%HPQL!^{JfFCcNyy#%0r
z|FC;HVD^IYBS;>`CuA?|9u1g!bbG-Qqe$mi!0ZK`4~y(xNIM+!zG;wo*uopM-U3-a
zNFB)CxZDr37rNgX9<HEz4WthiE`<CGyAJ`Ee?j}ekj;bH3p@88T^}y{VftYAbfN2m
zl;ceB`+-3I#}-b|d!gX|B{f|?U<SAM;QG<i5uxyMLDLWO2f8_+@W<wUXuk|@KP+E?
z)PusAko^@n>_;~TWF9vAMIiMy+<sVm!NQS{{m^<Jt{>)qSp0$P1^EL+W3%4_&3>4E
zP<+Gm!DwvyH{j3@%O@av@#(L@p&vcJg3Q5YKg_+LeW9T826V0}===guxd1xn0(#y8
zBP?7EAo~X~&P{--2Mzm!_7`J>C(IsP^bzR&RxS|pLDyR|fb55!-@pjkKM4v4=s8Xp
z_Jia>=VE~T2~vm49$exu`wO7<gZ3|i?1!EQ!3ZliIw0~G_CwWE?Eg6s^I_-e=t0ky
zV1(s|Ef9GO`$7BSsNw$;5c5T#=k@48&#Pbr#V;saA3)?W?1!qS*#BQ3=8J&Z51{j1
zpz#GiZw8hxIUxJ&rh(3F0Qnn~-$3ab6#p<9<X)(LxVxa{PLp8(olL<r4gH)W(0(FN
zyo1aE-O~r!&jI2?^)V_y>=gm6ugB&-P&k0>wScIb23j9Yiu<7EPGdlGAE-P7oxcLw
z-wtvQn9st<2x=FB_)vX}pnEew`4@Db7B=@uf&+wUS_0I4$ma*a!VN})`~g;u)INZk
zi{U;{ynx&XJ3k1_XJG{2V-0d2R3Bpn#9k5Txjx|b8mOHBYF~oNACSL5=Ny6J6J-Ac
zi27;B=lFosgVcfC39<*I4(3i64KtsS;UKs?g2gk)T#!3q=lFp6ER2jRAohqr&&vS?
zCrBSW-GR<O0oii^qHY@GTptdw`$6sir56|tQU`MfNF2n6nu`%`pmHAM9_TqhdSE^a
zBO~aXF;KWc^)cRn*ee1({|Cc;p!5l{=L19?^xk{o-3K)n!+oH71mr%@y^bLFfcY$p
zj5Xl$nnMq&j}f$i3zY5}AmY<N=@JxA=<x~*4+Y5nziFU*e?a*IrXCirFm>qR2s57%
zEq{R8D<F4*?u!Gt6U=8}grA=V3Qv%G(9MJKLH2^e4`y!w)O|2{&^>6N^a(wO4PL*&
z)Wg()(i5^d6*$c4fryJh&wHB&>z}~F3v?eE=-j9^V08l1VD%4ZT{y@cp!>c+;Rex<
zd2SRa9f90&0IZ*58pz!s^)P!t{spC1n0sOFhtUvoG0zDD)dQe#2Hnd93TH5%g^}?F
z#2yjod3Nw~)?oett=|Xv=L=Y!z%-CPko#c%0j);|xeuZr(?6j21gYnM>|2|*1DeiY
z{W3!SftZVV4i>0g1M<%vh<SQoJ_{ow=$t~3dtl*#9!{Wg9^@Zb{KNVK5cQZO?7S-l
zi2Fg+HK=?69cKxm8KLGeeu26JVglm)Iw`OK(=-c+{%N4|?m+H?xf2xMp#CztJHgr*
zn5IF^oyNcb%0Y;F57e#z`Tq~pUN9eY?i<7&5eD!%TZr=sLE#K4pQXVDGfgXisG9~l
zXA0yVSU;DLd!XiGxCfNZLGA&?D=2+|`7Df#9T0m&K<9B_tJgsL+(GGM1w`F6(76>L
z_rUT!jK&o{P;=q=8dhI`+La*pfX*!dxd+S#r4NWbA`+1B!6=WR;WX_AMBOwQh&pgS
z1eHUe{0O3Pxd&=4hI>HcA|UrDK+Mwv^H~@fKS1me(SV3!<Ugo;CPC66(=^b4BFKIN
zH1!~L_{s&C`RL^@$Xt-SOd#gzf%%|w5Fz{NL_p^ig5nKiKJvMhpmP&I@#X+gHw~12
zK=B3&7mzzZ`3ppY_#k!QWXFIQcY&IVab6$joH>wt9H8z2^H~@fBOvyOxIn}~+bzNQ
z59+Q-p!yADPXR>TG!KY6jCvlId!XiGxChif0J#Tr-a9BAf%%|x4Y5ZAa&I>WhI>Hg
zaDd#i0HSUh==^t5!Ut+DhI>Hgpn%*H0r8(6n9st<xC3I32x#3f$R1EW1f>&LISvaa
zkb022E<n^z1D*eftRAEeU%bK0M^8tfb5TI<%7MBI%x7U_d;zgX1T-E7N=MLkAH01A
zDNvcFF+leFO)G)uCpzAs=3;~sDEvY0seqWL2j;UdGD<+~5vhTQW5gRMenH`508uv$
zbZ#Xmd_d_26fT6)HPl=T_kjEfa!(7yUOg}$)Xs+3BLZ4?2?`%j_#@{tQ2GbCCjp{v
z8t7gdQrrVI7sEZE{0nl=1gLw!d=^H=3Wz--Qy}6P;RA|Skb5RT)J+4e^CQJQP;)Wd
z1F8o=?wJFzR}ai*VPu5PXH0{%TZCsp!)Fq7A0+&oOHex>q#sJd&$$GJALyJ*P<e}d
zt|eF<3*#J6e2XwJXMxm#&b8bC@fZAD%RLZ2JUznF0eX1`JLeJRKA1eL{R89U8rK5d
z&kAZEg4z=xe;k6^3(Ln~ain`GVdlZ?Jq}e5b03U`se{ol^=C;`e~Co(H%L@}k3{v9
zhBK%?4GQPSP<vtF3>L=|&M<qS>1o;vsQy1t8kR0W15cpx7qs6L6tAFmAjrN2(DV)F
zvoJD((jO?@LC@0zkBflv7ifG0M8n$KuzN*8<qt?4T8>Tw%@cs~A1r=ZA@_*Sg5Fy<
z4b)!-sfW=p^I-RiqMNq@;y!r$1!f-fd_SD-Q{aY-XQP|928Vf|@SDQGoCRvPg8UDg
z*MjN?1s?+k12fgz^N{+FITI3Y0&st_LBkE=e@t<RKQP5X{+PhPoCRv{BKrfHPQc@z
z9t_Nku<<dd_#{xj9~5t}@hr&rl>l^nLU<OaoeoMbpmYFgcYygUjEo?CO$>~h5OD!;
z{{vKCAdh!}#=l_WT`>DV4PB6Zu<<F#cof1uS?K+^&~Yz4FrS5yQ4S)m2@yxw2kQ5O
z+y#~g^*bQr7a}nGpyNjHaS6!y62d-th`Ef=aV$MBAJp!Ih-*T`5%xjPo7MyQ8{|LO
zcofV&^l>9ldI$CMAmcs4vq0yugTfO!9;FB7BaI)y%z=*Ez}*cQ-$A$=bZ#oh9EiCb
zdSE^aBO~Y>Cs4dV#1ZZW^<O~Y1eOQ&x6#50It~N34>DeZun&|jK=#4Tdj|7a7#Tt5
z6M^i5h$HNSjqiZvSs3BtJ23x&dXb=X0BgTN#$6EhfzA^G*#{lp(F605#$#aPA29RK
z#~UE^G{QX4xj!KDK>a3AIDq+}Z~%`daKO_GdOsi1uSb{zO5Y%JpyLO6U_L0lK>JTH
z_n`NeA^l^7IiPcNK;}UE;d)>`DE*?DgWk^t)ep$&33Sd4$Q)?@Sr5zy^$XC<LGPbJ
z`lAT<fX<@<nFH<j>Vf%4{ZyF0(EF8;ek8&iQ2qv)1MRQsf%!=NOPD$6{Xj^+4`B}I
zoEMNe(Eg<!m=6kPXgeNe4toC$(qBWE13H%lWDc}ns0ZdF_2XdXp!d5V{Vaqzpz~8e
z=0N*%dSE^%oYCBa-d}?Bj}Yd7$}f;P(0-U6n2*$Ng1HC1e*@{yAj|=sPXaOr+HcYW
z^O5>FFmurRC6Imy!W_{3Y9Mo<{T)3pAE|!=GY7pt0qH*=%mLlI1~LcQPtgPOk@^)d
zbI|(%kaj=998i4#G6&kf&;#?4`U5a?(A)2j_Bz5GQ%L#E2<;c>f%%~G_95*f5lH(-
zVA>B($a(VykamLztep)i-y!7_0|TtT1!}**+BY|#`fQ;3VC`gRdYuM3S0A4~52!v^
zdl~9q@H`VfeIZbNuy!*vyb0<{fa-&_pP}JGP+tyIAFLe>O($@D(D;D2Utr-<0o4a<
zPeao?L47SyeX#a0G=0Ov6PJBIq45D~2YE0sXMx7qklR7fb}A!C-i3jg5f=X%(DoLr
z9R_XpO@p<=CP3W-iuZs2IiTaF0@GmQrXX=px`3AB)0TtBL4{|{f$9Ubb3ytb^@YGR
zNc|!R4hN7vJ#f2Jc-9K2dQdwYq#jb93xLP7K<#&!JrHpYxILi$7)U*&{zJ42A@&H*
z+5$BfTJKE*9YhD}2Y}|~Z!<7zLBs_ZLFEvr{RS~la2i;ig%Lil05KooZqWJtAafw<
zIi^A61*U=S;RT69@{a(#zY23dL>x3P01`or!-069@Ia?Q1Kc3<K<)$8d+7a1h&buy
zLH#`q+P;I%2M9pNp#|Xa45`Nu;c5qqFEA|tk4IQ~0l6Pvd>p_LALa}U4A|lWVxHhM
zusjj*aR!I^(IA5um?lBuNpKpZzk|6R>%{;6|Ns8yfZuzR2==G&EKoc9HUlFg%p8z$
z|Ni4PX95m$EFu16w1UtW=?`W;Se}Iur#mCi%!kA$A|1p--E9rAhY@Tq3*$5}pM`N6
zY~2yqUo4F9c!Z^EP`?3Ne8AiXmdE2h1tQ&N3w0luW?`J>11*nkK;scsen84=M1F#m
zgAj2<dGG|P4_1yq+C7;1AmRvpAE5eR`597=2~2~u(*)t=JFL8d#6M=fhlLMB9(Vcx
zwVOft1XLdX{VzNXmd@;;;Q=Zq{{83B2lGMgK5)5;@CV3zP<a7T2dbxGd_pv>$`eSt
zSpYmg11hIr?tqmSg<$s!&w};e(d(H%(C`Q81BDBuJ%I2pEWRP)h<M}R0grcp@AU%d
zgSi9L-$Sl9AmRvppaoK(`F2=3ft7Qhe1xnIB973f0JRU6P9XhQfoYI_FJ?M{#1FzB
zApZ@fc!RWO1fcUYkop!Be~|Wy0CXLM0Cau=*1i;gt|t+Iu0O!6A3*6E)cydkn`UF~
z0<C}NV`c6J<!4Ym3mt!jkDKT~!}SNG+?WehH@A}q+&-Q60wO*Q)Sd^$4{Scg1*-1@
zL>|<=0nbMTK*f>s<KO>+(_rgzXG6<JduV=x*eftM8&dwv1J#S5cDN&?9qk2H$HK@6
zy2s=1e}TDRaTdn8(D|;pVD&7FbIYOnW1#kf#_Rt6=a>tc&t+g>nhTBpxlK@if$tAt
zWzGx&OE70<K=nb>`y`0J1*bvG5tz0RnvX#DJA&Nj3~^s1n9st<2y5qo#aS3Z!y4f5
z2nO$~VP-6Wn*RqJUI_n!#)UxULBnw_gcg_!7H46EyEhbKP8P^KQ2aJP%>&&t)5O4N
z3Dw64&EJgZ_P9aZ3%(}>6fRJGbHU<B_JGA%7(vAq*!|G-zzDJ*WN#1D-WgEZ9b&FH
zG@QWera<n2iZjB}8$_OCE@&L9iG>j(KEUdc!VMZP5brQBFo5HWjX84()Lu`J>sS~;
z%aB1V2B`lTpz%-w<uiss!i{kQR3B7+0;t?zVPJ+_o5R4s08$6?-yWzsXgYwa1C=)*
z`4doih{KqsU4ihYf##1u?M0BeAaxHQ;v#RLG&mo!F;4{TLjmPSQ2B?PA3^06i2nns
z9u{7Z{sa>|KZ4@p?|%+G=z17N5nf0+`~aM;IHtkYp~Ld27bJcxLFWAZF91&;-V6*3
z(Jav6cW@qn5TJYTniv?x!TMMj8J~d76_^GVXJMQMT4w?(M`7z<6rlD)@(W_Ug$`64
zl5Y{>7Ep0W{BX>Lnun1dK=KT%%$cBZOi*~bK=nc6WfH`{g400i{{BbgPhW_C8T}x1
zB$$u59}Xgpl+I$I=_3GYzCT2tCB#37@Io)AK=BO9w-9w4bD{d?g2j>Y6IdK6KS9RH
znHb|C_GW?X2l+1sYJVWqen_|>>_^Yfp!@-{AEJ(9E>z!KusD+aU~wGwgY3<K+FJsp
zLFEf5{-NQ@2)b7gl%JvE@cays=a>tY$C97H>RA{;)dnbkGD71Kl5H3m!1<MdjXARc
zYA-~B2|RBJN~fT4XwbYRG``{Di3|+jd66Ur1_mAm<}8ppkUx5$=77p6CKg5kNW2Iz
zK*C3W0m{#V@)<$n)FAU`K=naVKhuOLsJRe60|Q7M$owTxbx?PL)o~z|A29VG_kq-J
zfT{<TE5x`5bgmG{J$s<~aM=S=2QvQzR2{@#h`W)?Gl)0?11Ow8>aRf6Bb$q?9&`^L
zNZkWeb<^HJ`0(-#6h0tze<0!_9DI=S2VAbPF;4{TuLG5DpmGXSp25n0h<lhAMWE_U
zpfpJQ?|%V!eFN%egY<#gtvn3OjL`Z!8`Q33VPMVyt*ZyopacP;^C9UW2UOv5FffCZ
zG5q~6kPBuoFlRbI?E$&x|9^oA*%0;cavx+K$Ui<%b)a$*n>vtvAaxN?b)fPan>vtt
zK<ZMU>Okupu&D#N7o@HLst(kyg{ec17f?8W)YU-MA^QVa9q3+Zkh%`2I%qskn*!m(
z;}7J2kh&ERagi-h8XRwI%o9QTBJsuF0jT;rP#V3R2isQ!Yv+YS+j-D@48QLeS`SYH
z%d;>}gN$Db&jQu6pmGgL!_x&+JtJs-2sAGWO()r<ka9E!DxOmY<(ETgusJM@xgd2Q
zf4_jb8<g%C7?>u2=8ur`F-Sj1-501jXgFf11DOv}$G{Is|4{#d)gi|V$Xy_HJWzGW
z_9CmR1WPbyN<h^??VY9q;ltw(<X(_@CJ=EE2Ph4WH#X*pp#7});?D=FJ_SmH#*0Da
zJE$E0|6c%>-xxvp{5Aul2dIJd`#%RGSRJAs#fV3+dZc&+r3+9zLTPwBLgS4QG!F-g
zM_B#_&D(+Ub1gL9>Yy}KT~0kD-{yeLV`0n%sRxBa0n{Jh;A3E#Pz_NB&)*>PK<a9s
z>Y(9@p$=ppNL>e19W=dRr~|nJq;3jS9n?Qyb;$7vayLlb0;oD<_aUnT?dAZfTLV=G
zb>Fld5I#KKLH+`%I|30Gxd5fX@y*6O5mc{%+Dm``|NqZ}bk8|7T~31Se@%ewuZ8dL
zgN!E&&uW9TI~c(<3nQesV_;&u#lXN2!@vx7)}Q~v@b(|*zBW)h5EOr)aTG|ogct_{
z?aKo77gs_1O%VS>NRT<OaX@r)AmuN@9O%7!({PysZBI=@HwRKaBg}!`pNG>Nki8)P
zpqm3JzY*p@@4>@q4y6Chgy9}Y`HnE>3S_?!hB>hKQUNC{ME?TRe*n1;7GLeq_yW^N
z@pY1cfkA|U8NR*{65>qLK<hq0{S(l<HYi_$#6j@@8t(*|3%dUoM1$-H%>#keA*};~
z-cvM9h>3v#WDZC_2!qsv)>VVrS0Mc`dpe=+gUN&1&&cv!P<b%T!Z<ev+J6V#w~OpP
z(6}i`Ul=qzKn+Szx&oWe!Z;1Ao`rE*HZ)!1av!L@3Ni=gKG3{B$R3#cK<#T}`Ch2|
zz%-Kk`k>+<zk|XLG#(4m2Xh~2J_qDJu=y;E)4=Lk7^hVeaGwR#fAvsx;QlTH6Lh{u
zcve5uUNDUo-=KCQ%pahBBgj24e}cx{LH>lf7c^c1l841NY`-&D9a4O^K-~cgPf)r7
zl|LYJkTJ|&SbBxE7eVbokUg;UIuYuAFpcDX&^_@oc+%@6s6Nm>mL>*9KQJG3SO)_G
z11S7K{Tq-yF#mwseW36Mt4Hz=^xh?$=@(Rffy{x08)zR3a=4+}1MPoL1FJ)_2YQbg
zPJ2N8R%ClX_ez88frTS_{DB6*LGoZ4DIJ3LZGgl<aRCYkP`?jkE-W3w#sR?QvoKBr
zt7l=H)`k|ZApIZ=vmZSlgZAry?19On=i`~s_yN;M?wbV_2bm3WAE-Zw>^|6jcd+>^
zjMKpCSs15HBj7%8J;#73&t^mIfw>PoAA{DFg4_?Lk=zFwZ~%$J+y`p^U~?bXd=|!O
zVD&7F)8-IxA9_B9l{>I}Fc0cpFpZWEkn=H2A1po2hw6j57u2r=xfho1VdnsV)gh%v
z^n47;Z^YzdSib?(F96vCb3bUD9u#h18p-{aA?-Ds^$uwK6r>NdFBz1N!F;5ATnzFU
z1Jg8^e?aDg@-bLFl7FE0lfm;B$X;asz|sM%Jc6~KLG5E?e=LTECzwX^$5jIU0Ilx=
z`2*x!P`U=ylOS_p?grTpsz1T%klYQuj}-21kUjX^4Qu~_+IJxHVd1|N>TWQN<nHSP
z+`SB{59V%Ac>*#Q-Q8eyNbX*Qmfk`3U~{(~wElvv(}m?zn7cvi6F}hxqCw*!Aa@|+
z8(<D|CMf(s?FpE9uywkSd%KyYnXtG!Ffg!#l`%4~Gl9m9nV@`TD9r+;SsB=wK<nt)
z7}%LW^9bw=>`b8bgB%R(OrUj(oDA$tp!O3N13MGQ-`r4r@=!hx13MF_-O0<q&a{nz
zfq{>Kor#T^fq|caoyn1vfkA+Qohh4@fk6;T3o)=Wf%Yd0Gq5v(+QniF>`b6w5r@(e
zQ1c}j*qJ~LYDETiCeZkU5>%fER9=dKohc{5af&npJJafdBQs<`_DA2pCd<Ii<e~Lx
zrW^x1(=|2*22lofCeXS{(7o@_`;b@|@{2(CK(G{5#DnfZVo73%2i?B}as~tF9($vZ
zSkQeWET%Te37~uHU464a_nWdf82EXC_+c(#;Ct;ujTvm18Q54r^8pMDOst^wbRc_3
zy`LTwtsr}o!yLi(S|z%G&e3Mc^N+9q*%ue)?g^p|Q?mm=w2d)C0LWg@I4a0qnE#4P
zz~?Qol%!UG{gs)|Py$j1Gr!8!5bPhHfP5d2Iq7jO5g^*wzbXzyo492ef@p7J21AfO
znE9afeZ=_-d~Op<W=RI<+$MN<M!Oq>%{8&L0^R${QWfC^c1M_h5I8(j4ZR#d_C^^p
zI3U{#T0cUZy``Y@r&)?K)4_CV3PT>q9GJhYQ=_s#=KCADfbOAXiE^m|$6u_09XNa)
zeQY553?cep{s#3&D6t=uei;~;*g*3h#F>K{e&7tuz{CdazcJ&AUuZv^4b%@K&K~eR
z^DJg2kaz}16ay0*D4&7!L(k7*DNZc{-SfzzpOTsc3ctL3eSa{W>H<37izTxxRUgE6
z$<HnY(YdL4;B=Q-qz~4You31`e}F~bFT@WdAFA)^3Zi{n-NEU=$k12;#1AOSPY2OO
ziMe3)MM;owNL9!N+ml*S3=!8)0_ThL;7YLhl_jaUAbt7;MWFk~Skkk>_p7m#6zd0p
z#4F-U!0y&7%K+W`$-+>UkpjwRpmf2&z_cGmL-$qiK-!hejL>}%JdpM|Gb3o8n1O+r
z8>)^Q($8e(hV(y}xuNR0A?*=nZb*B8nHy4{GIK-fCuVL)dC$xZDW{paLE{i0b6MCJ
z7!;u88t6PPNPMt@wnKyOdjYMp1f2tjPJ`x!K<Ckc{DF%Goh!(N<DM35`atSI^Uxr7
z!0v^I-46((LHa=QAR4S5T(7cnfX-O~oofdY$K@W7II-^Lg}R&E``|(23?O&DVE~Um
zg1avu`*FFCSa<P3-9_wu@Sy#&Aa{V)Yfv+M_@VBAoqrdfR0_%$91KaN#h~-`Amsw#
z`=vqq+Cc6Dt+S@4`vjowBlkXd(D)R{U7&R!)O431)LrD>2M_9RfZPQg$Dmre0*xbp
z%mtPEpmd5(L+TS&4$!&>P<UKngrsZq`{QBx6h?#6JIGuR4a%>e{h1*C1E_wGILJO+
zbSd;6SYq=BsGI_sPpo=zR>*nOZt>2bb_s`fJh*=1FwF+nPoQlT;Bt^y^FZfZf$T?^
zXKD^QchZc()EsnvBq&@#<tee|Nn*Iq7}RdyFkvvZAjdo@4D$>vLGCkRFtjAcJZTK`
z48Z1@F&Lnj2O8hTcYi1>-WZr5=_;s#!4h=eQ4y4ewx8kmi9*}C91N+csi5)(R&OnW
z>R%3}lXJ4c=XVwqLGDjYEry)aSzM9{s=ql(bD-r`4r;kYZ2TlZ^E0}6%9)URN>fwf
z!S-94F~o!X1ItIyc{p(X1*I2I`Um9?5Dnsk=FLGgjQ;`Z9~d8<c60Oz2F0T*gHJFy
z@d#>XgX|;L-=GC$q^Jk&iy=ilsQpcfdeA->Qq+U?vyh@5v~PtJ^_Do!Uk1e+dU*$m
zcV`mSgZ3Md;vP>D&G#ZveGm@ypz;ozf6Yle58aZ$`NPQJ3JOmv==s{{=^2-MtVuNA
zhD7siN%XG+iRzt6bU)}kL~Q8?SNOP+Xg=utN|5=Wb|5JJVdlbU(D)0e9)z_g93baS
zf{u@20I7$?Crmwz528Ww>q(+}yrAk~=Ha5z-3#NxXi&Ql)c(mJ(Y@Z#dXm`m2x>op
z!jD+>zR-J1K=mLf{3xM8@dESb91{Hrnhz$$-GR_}frT$DUSNFmbaH}3_XI)BCpH{|
zNsOlu63q`M(fkOg`LOVS(XeoWwI^WtNCYx(O>{nrgqlxm_(YND{%ADwLE}lF^a*o6
zC_jVvAR3gfVeKiHdkCtBnh%;E0+|C^?+v0s>vchN8u;ExR*qCC4O)i<5(ljt1kssL
zanQOw5FZr2p!5$~7X-@Z&~ssl4o}#<rMS+khqXsT7_#F*?KJ3k*U&#N9x~p=0Uht+
zfR1;8wiSZ=Pmp;@X7*la`UJ%{sGI<eZ*d^4hk>250g?x;GX$+e3BYoGJZRnmG(Q5P
zLH!F5AF2=M`SIWeHv`i&*!l6$at<=x!oV~Q-+4GJ48rjJZ?N;@q4^H3AC#_<-2q)+
z3EuCBO+Pf9!SzG(GZTCr4~!2=m!Ne#pz$0K4YMESU(k9U(7F%E{!YYtZqT{`(78=e
za~MJAN`TaX&P@dE^8tm&@BbY7pz&KK1{OxpepHw^NFUTc@OeWhf7%JixYjh#x*X6x
zD^Ps{@*l_^5DnrZhXc%=Ap1b-LASwz<YDLGf%JjqpFr^eI)?$wXJKS~0I>&lZZXDr
zPmub73A`^8G#?2XHwT#yvLECQkU1b4#0S|2a|etD`5UAj-8~?Gg4_c-&jjQiFduXt
z4rJUy1XR9&!Uq&DpmYk72hEE?_q|L5oqqsw4`@CMWIo7#kUI#u2Wl?H`BNZ!K<)wU
zj{~^}%m<y*3v~~uzXY-e6n-FkVfzap;)3Ax2l78?Km!#2p#6;?^)PwRyfa7~<`0nh
zAoZYipCI!<>rz1KVdjI>f&2sV7f2l}JYh7%T+n(dkdG1PLW0zT`~k{0Ab)`QER2kx
z{oJ5*2ikuE3RjT%$l(f72eJpWuN~wb(0&JydqCnKcYtgG(I7rZ9n2jt8fq>^xPsCT
z$UUHW7m$0vd=^IV`2ZmIfa(=&?g6D^kUbk9;Xe(uj+GSmK+VN)4=DYB+yh#V4{{Hf
z&%(%f0%8yB9N}r8@B@VdES^F28EAhR=)S(+{{^PO;t#eD1aeLc$28Em!vFu6=b(bZ
z59FU05PPP9)<1*%1G5JdANax-VlL)6sG#x`<R8$wSdf3fe57@%(D5GlIccD91*wP9
z@O6%$au2lr0;ax_53<e;WFGRlX<&UUjB`Na$`TCBSs;C&`EVA<_zC>nG|>1kD1K!i
z;uz<SgZ8<D!b29iA5H_JPQ(O4W2lGDUrmFlhpz7t@xh@Uv~B{~e9$~U$UP}I)I-l}
zhnrsjQ72LZp)uS8JzpKJ9y&h)E(c)o2%<sp4x(ZC1a|%!bl!q!_0ahRjQM|1IO3cC
z2i5PO`G3%PW1#teQ2m5F{|{P!4x0Z5t>;Fb{|E6w^Zy_kH2)7;pADM-2Zal0{@)fl
z|L+Bz{|C{a`F{`%n*Rso577KSC|!f*|3Ngi`G3&*e$f0sNFVb2zuBWV)u8$R*52z4
zp!xsJXS@%A=Ks0$tb{@H|IE<&f6zJx(7Yye{1QI@pO%>ho;PI3Ov{JO3xa5CSMwau
z{9%NZ5qRFx*V4fl#CI@^28%oA=cIx7uEq>$pn2xuKK~DD|AWF0*Zeam{etGXLG?ID
z9duln1v)OwQe2V(8h>TU$xjE*-zG!G_u=!;pm+!A2gNf;KeRnQl;@wzLFpsEC>uOK
z4ohdyb~(;@Xiz&GG#?C`7v@2m7Y2>bgZf2;Xjs1uRGxtPQ`l%wKM!<X0I2<+oC6t`
z&t}L^2K9$P^&Q9_(D(;vz5qsp%mJwf(O~`1d0)_ZRiJ(vC>`Lk4<t^kyY!*sn6P`n
z7!peoLGufcdWP_PCTyG=HlIl?cY)4b0QtWt1-#CHqmZE}m6Y%TwZ}mEVe^^Pa+e`A
zyo!@^K<gzq7?N{}NC_|4xIJt>lUnXFg1W1`h`jKE%@4rlIjQ9?W2n1Qa|=N0RX8dc
z3P9_>K-Z3d`^m8M4x>To9TaaM8kFu~^AOPX47h&{O5Y%PY&5ay9(2wc$ey&)98f*O
z!BCo$LrOe?$_0>qX#0q2?lOgjS0O`A4k*1t%4@>;5Ol5=$UV^Z6xG~g1Do$FO#;;`
z9Oapi^ko3ekHoqUv@ZeVz8*;X4b*H!8TUqyPh#WI7Ki%`Npv6R+!>Jj_E6b<b~xN;
zM56mZ=f8m5_l8LK6(^^G>VJ;3{P<+hJZXMz0jT}Okq22f#=(%9R{~1!pmrgs{vkHJ
zLHiLw?jTlu5_Db%wC^00UqR<PAk(0724p{Up9F^u<Q_AQ#1wElhNCDEavx=WX$kl~
z%hD3)eV6H(;Pp2psYRgmj~L^X=;;Z>2bCwuQ1^iL;e*@(I==%%gZi@|8Z;gOqCw>}
zhz8XoAR1OrL)U?G<Urk-nO6c@FUOIWk_uY)#u1;AS_TU5%;Z#Xeo0Hr1;;~9B||3i
zd^oY;mjZQfP5~qw@=9|-?H!K%q7<<I8H!R+)*lgTZYtDVT=4=L7eJ1e15p3M+)<p7
z4|YdlMrtC+zbOo<iOA&@vF=ENx&yS{9u&@?ecvD&HjWJ&uZHnK`<X%V=<)Fe&3z@6
z1>ksLs4M`lmmoErr$gNZ^KW@(3b_8tU`PSiU$AnISbt{VGOrZ8ZWuI71+EW?H7^rt
z9xVPq=Sv`m3v@pa2WVar)LzUlO9i)A(sCg27N48}4xi-gOwf8<j&z31JaXbMi%54=
zK<BYa^HRX}7NzBY%cJu6<P1>yNlnfM=aam2^72VGk?vRlb4M{Gf2JlTfy)ojcsi*4
z4WmKj1*n_@(V%hzR_;OfA9EneSBQHPb0FnFQDQuJolP1;d@d+FVd?HR)Lv-680Wek
zSh{)xRsRu6!}JrIK0pIWAomli9+a<0Q4bm~BSk%^ze$RE(7Y8X>OtiPDe6JvbEK#T
z?bipX&&kXKr{DN^OVGM%4u*J3BTzkxBn}$C0Eru;iG$X|gTzfh;;?#;*!YR#gsj^O
zj`RbkS7^U|D9@vT>knwZodeo$$Cyup<saC5+5|G@(=xEkr-9~kK;w}x8kFxrd{BM|
z(V+MS%@08Bod#Nm4B~_4e?aoE`83e@J7`=QrVr9@Mx5t~JRb(yhXq<EjXa+PnwOwN
zKeXM1F`otrH^jM?AU?=m(D*QjhRvrz+cOyYA@wU^{m^;_LqDV(Bdi}5Zm{`0P(=tD
z7Y20@K=Tk#dH8%BB;PQ>=bJ$B0UEal#RDjOLGmyf6i-n7@N+Gp=EBc+Co~@i8fOEI
z<3sf^g3j3nxes~X4HSQ{c?qb$;pb7n=956}N|-xA>Ot!8xf5nS>|A)5J3;zD^99g(
zHa##OX+8-$Uk2G8#elf?3>KfT`6Q46n0sLEfYI2(4Qeh%xPj6Y$UUHTGANwDd=^GV
zQ2!Xjhw6jRFM-lKws-}FFUVfl{1T|YNQ(QQ=3=-HlrBK-gU;uHxAB4QD*(;&fZPYw
z2cK_(&YOXP2YgNxD11TloS<|DavyXZH~9Q9QrrhM7sGv^b~ea;=<{*V`7%AIKE@xA
zc`6Z5KLuO(fx;c+KIl9T_?{7L?t}UVo?l`418VLx2DE!VLFoqMKInXx9+=O<2tKb0
z6n;>BjIjA4=)4<7_<`a9<UZ&;(KJxGMT+~N=3=-HRNjKz2WpRi+ymybFfxMbcMu<{
zj}bQC1X_Opvlo;P(eo`RK0xlwfb_GcfzC}qRu2k4P(A_CAa$_tgV8Ya(eFzIrEics
zTfpa!aOi>gNcT82K<pC%?cc=~zo2#k$i5j6b<;rSIl$Znau2NBgM~B9Jun(-E=KwT
znG13kbln8}-o_0OdqhCz31D*%XdVmXo)Zvt(?I*?NpTO<TnzVs%mujzv_Bpce_%dn
zT?E7)5m0>vvImrJvBe*B{$$z@h<fNb;~emNR6+3u3NKvY1T!DK+y$8nau;YF3CLYw
zKGM7{v|j+9*9DaqAoWlh)EozwC!qQlG_MO&k855RtdE6p4ye75gEX(J0_o?%=XDLB
zd=Cf>&R3vt0nG=4>Uq$*+TZ^Lr^!R-brT@!L>eG8_?%v3^^kky5$b0^)QK#C(D3y@
zpnL){AGAIKln!9?z*`{dL@q#R4ELM>izCc`0OoUuFhKe_81DH2mPe@Pfv6LafY2E3
z0p%-X_ki}@gX(Jo9O_}|0Oo!hh&mC_Iz&*oz}h#k@C*RUBixe%=5vU2Kxp_m@i6rb
zU~z<c==xHT9bj<|4E2zE>=Ejr^F82sX;``i(Xjju%ipkhZ0I};SUt%7uzUhb_vq@O
z^CMvOAag<C0ito451qFlT0L|=foS#6aekuJL&x8VRu3H?hnEM0!Uq&?p!y$FuYl6k
J0a(02X#g7nT;Tu!

literal 0
HcmV?d00001

diff --git a/work/_lib1_0.qtl b/work/_lib1_0.qtl
new file mode 100644
index 0000000000000000000000000000000000000000..b94fbb7f59ad15c8e562bdc12b8e9df24b7eb900
GIT binary patch
literal 116903
zcmZP=1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiS&LO=uTTc&9o
zP`ZYRf#LUmj%i>n1Jg8SFoS7Y3j+hge<X2ME)ZXM7B2$>gAD_778h6@b0!N|T%>>z
z%x7ZcW?*38U|?osg77EpV1$_e0&Je}ERen?21Z6God;INz{ChL*MfnWksU0+G#lzZ
zh&mR=IZ*j5urw72kUJR|5blS%myw5ofkB0VnNbny-W&$7`$eGglR)l*gbT>WOw$Cw
z>I9~xfN9}bAag<f1DW&xKZhQe&%($!1I-)}uzFCqL(Gu@^AYBN^n=Wi0E=_zf%z<q
zj3>~{QGm!#V_<-o1B&1O{}JYZ!VP4O3PhbAn9st<_yNrv1Bg7_92YPjVGbVy0|Uq$
z2e3GY9+=O<$fy8`UwHU<K;+@(1c3PnbNHd=_<+SZ^uT-;M#ca%b3!2UaC2h7e1tim
za0j_30xZs<2j;UdGB%)@lK_#Q#t03c5-=ZOjv&-M1z>RwJushz5oh`pf~tqo@bn9c
zKNc+M7p#s2l71B!n6p6YK<RD|)SVSzdj+Qb;DqF_4oH3!0f~e1B`DrO=?xUSEDXZa
zKr{mb6Fk4(fa;q9)wcu^uhS&J=5m15<I}eQs_zO^A2gj1)VBtz?+;WTG+j)KWME(b
z*#|2}AX$}Z8qA$Lp!#$m{+|X-2L$aq0@W7-)d!7tg8D8%_053lgT^!5ow&mDCp26k
z?q^^C<r@(O<}6TrfZ`8U?m_(tF26(=m>EIw2FiaL;BrxTRs%R51i<bD#Ty$`{0`Jz
zAoKtI=a>dE7o?8`8h+671}e@mZ8_K+;aMQ{Aam>(A?XEDPGg$?0?m9#dJvd~ZazdD
zVg47WK2SLaau+1L1g1gqks#b3dQkfrm?7mODF1=fL*fO~9*8)?9+15t^^kH$0G^&#
zK>f)BH5ZnyIG7;*6=q;yxXr+*1rZlu1nFmBU}A)rCpZl(&%y}yCn*1b<p2LixO*kk
zd<m%epz;&s4oEu0bT>pCx4S{<@&A9!cmbssP&)bd|NnmuHs-D`|NsAIU}ety`~Uxc
z9#-ZyXu5-!GoQc-iD}v$7I1h@`wvpjz%=au)V;FMatBPaFv80n8?^dX4-~-+Ow$S=
z@i+}^FOoTOP;)>u6AL3K+8{{^N?L<y=1ge%n*=it)=v0{<}P`tc_12_c_8<J!U1e7
z0|Nut|7^@%-~RvqFUrc?1`%gsRDs3^$X&?l4WQySP#UEE-+zH=Q1?yS1`QvOI0$<{
z)j{PaeFnRid73>my@KjpP`v@Bk-||4obQ+!Z}ULX8Hf*Z2dJIG#K1BglCOnlLCx!c
z((NHo`#^Ss+y#zC1||%5B|z1|-1P~~U82x%1k*_F0+q+0dIjb#5FeYnpyqWz>Gm9`
zebDg4*=_>I11x>AF=v9~mz6p5D_Z=tK+RJHd6a=Ua{`nP;)47Q^%sV}=Rnm#<tKeW
z^S2n(-(VWa-|A@b0pf%FZN$L9fE*uC^E#k(`wFOiFn8gKk1bGjkaA97+6gdUaGEYO
zoq+U%@CC3qhX^R6fc%NeygN{JuyW`Fm@hc39?iTTU~vw3d+9w|c!)#8156`@hYnhJ
zfcT*B0HtH(@PL}v0j1knAms_%{m^*8h$jK4I+*)4z<f;i8-T?T?th2oevp1p{sPlT
z?$<|iKZp-<Kd9Y|?0%?u9Z<U625KKHo&vyp%y^0bi*txT%5wpDKL)*gNP((@hQp+{
zXzrJUhCi4_az7~DA?HI7ALM@Y@Q0e$0j1jup!UJs1+^C={A-}<pz@R6pt(y5>Mk&i
z<SrAma0T%}?m`b&sCgYwy1fHxA1qvFfcco=x&SOrIQ_4Is)M=zHJbaSq3#FMNbWaB
zb3cd=azA>wLe1-d((OB-_QCQc)Lx8mJpxq+m7nwq&0V1OI4He>X(V@9Lfv%>S|5P;
zAa_CacR=a(3sCc+<zg1N++<_!0+*v~%$eZon1P8oIVYQeg@KJlngvvTv2w71&1VG#
zKLZm3D+j1tVPRn9011F-P<s$WgZu}gL1hs#4O0&i2k8gVAbF6v3=B-nMa3oYAp7zd
zO5&M_uoo2GApM|x3!*{c0it2%!f0If!u%Oj;X;%@LHPk>KBzte(V%`Sh=$n%qjA~C
z2~xno#O#t-k_hr=d{SvKh-OGCEoLObzo2#@$Uaaz5=4XgyC525EQq#%#t+CI5FaEC
z3TKc%L44>q1#?hJJlNjMbcSMPBJ2hAk3srD?Me_0>K}t>kom|oE`P)94KE_iUQmA;
zWIm`J4x&N*X%J1Uy`XdnN>_;~MX8{0E-p?bDxN|829Q0VegudH^}j(h%-#$f;S39Z
zP<VsvEiEkp+oz2q|A5-dAag<OG!PBr<Dy~qgXBT+3Zg;!Ojz6#7#P^W#RVe+I}<1$
zh%&G<1v4-(C_u$Q>YP$DK<SR7EHl4|g@KJDF^7SHnSqTXs3N`u#7_dZQ`k6?bFxAC
zkfWd|KN%#RT3ihB2S^-D7nfw_g2YR6!08tp%!~|d9MJsB0cz+ofy{@5I|nq}IiT^+
zk(my$7n%<^p!oo94m5qj)ukyIr&%ggn<yBk87Wj7nktwWr7Bb_RM#q0D;OEpDpVUN
zn3~qsDj1>4q$(JjC>SRzm>4UVB!g9%nbp=R)YgLXF~b8;XpZ915Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7(^ie8e9WSqCbUB&VVLsL4&}1z>|p_@I^8(
zCxhgDNLchF0GZ^O23zz5UM$1F$OxrDV>+PWA@HIBA1sST!0K2ai$*~9!rVbGnj35p
z_Qg>q49tuiki``6Ni5K46KIhN14ARoUl@y`z>9ns7{QCHK#QWld=^IV>~j+Xqb6vu
z`~QCd@FYHHG9NS;4N?ah{BB}lWVArDPXZE8(-@)lfd-L5izh&n9-zs1@FE@tMn=%!
z6v!MfpM{Yz1I--Jpfj?&L4(4`=71OPFfcNLCfh*ffcY$pjG#pZAa_H=5$*;}zJSz$
z<w1+S(Ch;ZY9iYQ8hl2!59Du9Is{FcgUkW*Sr{3?lfn#)nh<e>eV{>WkUFqDXmJ>t
zeW1Z+Wcxsa&B*qFCtVpB89{^5AalTc7Dh(!<Rb&4CPW-zA7~I6qz)|4!pQgo%|6iJ
zGQ_@v;B){Q{6)486mKB^fd-#J=79MujEvyPHU>sbh&aMNNPKeWf#q2k88sk_8sO;;
zG<b|`A83#n**@@O83Q9DXb~UCJ}{q!kr6xz#lWZu5l7eu8k`2H1Ix28GDe`;2O3-k
z*~iEL@gHpQ4aA+ovp|EzApb!Y{cz}k`7Dg^#e@xL=5;{RF)ZIq0P_)x)4+>87#JCQ
zz~UTwU_J{Y;|4Tyra<JU!OWQh<|E7jEdl_!3$zFk<UcT<g^}?AnmG%=`ap}uAo+L=
zn2#_Aw8#Tw4rGxIhaQ-Zv{(+k+ymu5ka{Q$FZV#{2Q--qoy>qQ_5`bAfs}hH49r;|
zb)ZQ%*y0dK`HWaB$HEF;EF&TUNr&*oQlP~(On4T{2|)F^K=py_1;s09@ftpTGEjXb
zP<@d64+<~Pq#o|Yc^XiCOQ8B7`IxXi6R5r`P<@d6NLZf(R38f@ey2gx4|wqw$baD3
z4J?ZYeW3bGp!%Tcg`mC&sJ;}aK4|&?FS5gDA32Nc1Q?h>(aZ4Xzwk6rc>$`&Qy}(3
z7m=|{2UlMVOkj6|<Y9bt8d6?yLiHgQRf5a~l_QXF69C%-N*^%&5OL7rU62SuABcx+
zpD(n10TKtfHx|TTV49Xorh6gf9j1Gs<=8Yxd<jg0@Dc8Vh$Hx5XCTEtj1O~f1H^rx
z>IWRoabN{Z)5;*?(D1??&X96aa2li>6qp7{4~Rv6VB;8=rgcEWhnWk!=t>VN&Irrr
zutk)h_7|uefGws2FCxRUh^`0fPSB(tC_Z3|=s=6QLFEyM#<o}uRL_9y0h`ap+y$FV
zPtE~P-mzpe<R=p|nGR|XfhGw-?HUjbYR7<RkpGZrP&*XH2Tel4<XJ%s1}5ed=;Ss-
zVo4$~liCu{$y88z1~M1aE&|aY<B(}wliD!<7C|N(Sqd47Qi<^|s2v3|A5<QKXiz%}
zM5EhF$iKzOIiSf@7KY@UB4YdtYEOae0hOm98q}Tw(Zt#d^KW?(WKx@0|AN|8AbUXN
zF^C4Wt3WiV_NL|*fF_GsDj5n&hzVy<c?GfuR6c=dQ2Po*6KgLlU8R-g<bWpe8A@|<
zhzVy<xdXBXRIY$%P&*4m6KgNDzF{r|wF-$?BmpWvK<0zW4-gG%XMt#R`*5XW(4tMy
zqJiSlB+%qLOL-<Fehr9B&!Bc0$Uaax528WsG7yb!Kd$tQ%YH*5?FY5zK=y&kZ4eD=
z&w*%4?KdLQeo#9QWFM&92GO8)Ac#h{A3gkG`4QCL0*8NcS|%u+rRB$i7C*4$=N9CH
z_<5;$;B?QBnpXl!e}oowfa(K~{h;z39}ROKNFAs=1<@e&=!-f)?N)4yI)Wqpz>6}{
zGSfir1Qp{9pv4$DnR%c^FC6jlmPVk(8X$W>;?VTP0Zm^VpmGniSOc1`IVvIPodZd~
zF+Tl<Q1#IKiOqf!eELC)5<&Ju^BIQ)%3_Zc$XbtTg%U$E@Ny3W@InqtBhYdWGq|Kt
z8c5RE5+Z3_TdQE43YRo72T7Vj7K&J!AeMmq0ENjY9u0xf5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c$Pj?-pabm)
z0qvjz(b#s-T?RW6^Z0lLZtzasX^>-c5y#qtj^hWJ2cof=2fCI5v?C8}ES}@z@9=}o
z7kL4tK|7?H7#Jl$J5oR=3xIZBg3JerGqEr-KzAfDfcQ-;jCoK#V+{iXgA4;R;}@to
zQ1MBi9d)1`p_8H45P)_>g4_+Jk&X?9>1PmtxQ7QyOMrJea>92yLyza46AwKWSpupK
zw4<wufzc8y&cetD+Q9-k5g`$@GlYdv;0t6&HUmf<$RALC0f-MeDWRT$fdO<4g$mR>
z@G+#IWB$SR3ot-;T!U|m`Tt*_0Lo`<f?gwF0M(Zaxn{v*4df&XM$nEVP&nE^<w5cP
z|GyyIKhWdw=YV#?gTloFsxBHFaZHoI=CLq>ugPg*VVnlq(fRkk@U)G5;GLhdQX%$%
zPk{LMUvL_j&%%gtd^%`n3+RN05UBZ}ot2<t*}>K@FiqPI4j<Tc3=9mN3=9lB49r;|
z|AEp`0#sib#6FJ)Q2&8;ID!0^4v7y5&<;NkALf3rdKSiMV0kR=2knr%&A`YA+Oh+3
z517xwh;f`e%>6k~cVt5Bvjp8v1F{diwV8nld@=^e9H>0pUtm59BPe)4DFSv~5=0v$
z5WpnJ-z*HwS)lX)@^=N)KF~b?p!5YzH;fRCOkfIRA5<Q0ADEA1A83au$ekebLFR%j
zV_;x_`3uGe<pX5-7O4HO>juEbkh3vof{*jYa^1iLsQNijIvWyB@cazgi3rNiAaPJW
zfaX&M5Fa@|cY%-XWoBFfH3zB=BR}Ut%x47CNcnjYRR0z<{h%Fzpld~-JN>3Dgr+;t
z5eK03pAU*17DfROAK85%KC=6I!8>-D84p0shov8=J28$k2f0UvfjJAL4-~#<p!#k=
zX&!Js=bR177oc(j5+6)(KGfZCKKPh&2Ie`S9i1R|KY^M9ice7Zg2X}Y2PY5)CI%26
z<bEihaU!(b_yE-hvJaGhIRruFALjJ|0$_2%$H~h;)e#Dh`3wvU0u0PqAa{VmLjz48
zXon_f2RQmQ047j%AonsbFy%wTjd3Q_KM-*Q=>Sz<1W6~Bu<{TV4#m*&L<DjiJR?{g
z3nR2V6PN~;$5I~nK+Of!FQ9PC0P``!4Rr4h2Lp2!$bBGx7J$`ph=5Kk0p(|O_mrZ!
z2doasJz#k(?x}&A3-US0Ju|?3O!t7~K^WvNkb4$@)gj^)J^g~>38W6B2ZTZC3sjDS
z*dPo_*P!wWlwU#W)<Dez$${K)0?fyB#|5xBhX|o`atEpolrKQ#9J>2J_JiyN*$2We
zpz2}A`Gd<THs(xl`-PP`6LhT(^7S=epz0ZfK<S=CqzsZy;N?|0v^)TbgYpy9eg+U9
zl%Jq{#>LR<=XjvzK*cf2E6@&UP<{o|NaYo%{sUcKCxND41I&k=$N-~-XMuL8g3JNa
zER3M+4RR^tGH5=4*>8bEe-*@^j9?l`Kj^wD9;9mkJ#gr+hUy2?NcutT3(!d)pmrgs
zyaTxh6h8rAb2vm|pfqUbJ#sq)dTq!YQ2hh)R|ZraEPa5*Sr{?ORakl~fvRtS(&*+x
zul1Ny3w3u7R2?i`fyMEd4~icN2IeeK_<;N~1FF9cVx9!3UIW#ypn4lr{({6o=>=Mj
zF@X4>^aABGu7%bgOQ7a}%m-al1`-FEzXd7|s<%PuJ^@@mU|#ca0ICj@_Ce~P=3|5t
zNFIbi_JGP=P&|R`IRn)X+OZF6cZ0$i<PY#ULM)5|AaP`WfcVJ%*aY>*9jG}V^Fi%4
zkT}TvH&Ag{dyGLCl+OgGfldbd|DO}1Jqc>>f!bp*^<X{=<22BTKiJeaL&{4=n0hdu
zg>f3_T0(5<K_?O*n-Au*Fir#AgNaRjE7W{gJb?LF%3oMI1cfmOgTet+E`ss_C>%Jz
z{^k&YnWF&aW5y>;AIJ=33@Tqh=4gQRaez;F0mU~opTpxDq#vXXrq=|j9$fCRF?WH>
zK{n=0$VqWb%x>|{KA@fb-tmTpAlfvW!4PyEh76AD8bJ9Gv@;(@gZeojJ}w%R9zpUT
z_kecxgJ=*R+Wtba-_#srzZrw6Ip{<ULiWQ>Xu)MKOq_W8jV(a-n=lw#5Mw{6+yMCl
zW)Ci!c>4`4LG~Ll7+MlzKPUlE*M0-A{bmdXMA;8Y$CTI)yB>tt>vcdUvVrUawYNYt
zt`l-_g*WWP80hsJEW}=~gMKm&=%hf9{jhWlqjA{_^Cz*_>!6>A13D>?6#GCYdw@>x
zfL<%Y0=-s*h1lzLK=mfbKJ*i7VE%;BAbUXSKzvZX2Kf`jhmK2-e7z2690a5vG!6lx
zLH%$L4Kg2@#ud&md!g5>un>E#52)b;G9T2=2GO8)8Hgs<UQjs*3U5-c*8$a|AbZeH
ztU<RIJ)A+~Vjz7Wage>RlcsV~i=ij86oXG@A#`08s6PoZ2X<l%==xa@A7(%71RIb&
zFmX^kgU0nhd{Fs|Y=3cLZULzL%1MP>_e02jQ2PU9&JJ+<ft3SxQVh)g4N!4(`#~p|
zg7m@auaZ>AiAbqMkdtb1vKf*=^%o)gLE|VOb3n(RfN0QlxF8y4Ka2*I8!&N@|3Txa
zAU^1%SCIda><3>bm6%%qKA|a<p&$oTjuUbRX#5Cd9t$Jr`dwBInEzn*!)Wwyuz{)v
zjmLr9QIJ>!KC!2y5)uv>sma-(_+Us)&IX;-L&zPVel*A(0#I{7Co_WhF#BONx;sGa
zUXVIieV&w9ngX&nz9<o#9#a_-i8>(*G|mb#M+Ry?=z3}pA7(F%Mz<f9enH}(aDbiw
z#1fyGmj`kOLuOtcG5*(p+G_x%O`tT)UKkCs2j(tNdVs|jMm(gJfYU=-Y9=^6Fr;P@
zbz&E&T?{fG7QYTS+<~hc1i23s4)AgzA6hQt6IU*P+SwrcJ)rjbKxvr&VKgE8VetSx
z5s8J^6U#vDc98ucP<tbwG|XNYjmv&mc?`2Z9(w&4q4W>xUxDleoiq%hb8y%T3SW>s
z$Q{V>k8W>CelF-lDuUMrg8CgG^FSw)g6Iky_Tus{$UUI=hWob&8sA04#di(VKG5~+
zAp2XOe31V@d>D<(epo$#us;QSa$8YqAyNJZog@jerw3~91Sk!&7e*6pe|Zr}_D_M@
z2fAh)<c>K|KFnSijmv&m_$OsT@_SZlHniN$2A8{_YnVal1ic=C^%p?nkRW?ud|Wil
z9Uys-c_1334|F0oDE@Jk|EWa`*`WH6kUK#ANRW9jb8*qQ+yOf|4x{{!F9Dsv#*&kh
z3OOM%Cl!1`Bq8^}!W)-6VB)yk1FN6W!zDita<W}ILs}-NekSA&Si2TxA1)e~J7D<(
z9xloG;Cd-7GaZ~i2-y!h`H_-%K|evyn}LA=bb=nJ-9y~9h>&Xvq3t`4oKy%O+Hb?S
z?hx7@;()ftIC8Qf>Y@JUfVK-bKoeo06Yr4JgX&8TX!{8+53R>I5cY%HmmJXk6bDQ`
z2h#op7sH?v{$S!s(CZ%aQgT4;BaS@iwTsYn!2xYAaX{NkaQ)Eoj3XXWo^U|RGY+^u
zNd3+Mt=}>ALE0a1@$CFu$aRr<nV|B4Be6IOd{QB3UI`Qq(EbDbnn-B>jqtUQ&=U`#
z^&H0alCW^dfwTkQ?t%FaT0dimL+dXNn7a5PaJdc-2ej)bjUWdNDuB)?v{WcDOi=(K
zO9dli1*232V+#cnvuJZG!&n7VBL!2lXhQ=K+XQl&p|OIA8AypKh-;u=XlYPet6-Xj
z!$h#|SOv3G1yeJSQI=LlvEZW(%@oX&6fDdYEKNYdU>m?EAsQKijzYAA9-@fh!o(zn
zBx8l7WQAm7g=DY+DMkt@NeZbZAX8J5K{gqsDkK?03^M^42E9EKbjmy^-;d(a5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7`Y(;x?c#iiWfY*#K1JI271pJ
zBt)2|fkt^j@}N~%$oF)yLhhBC1)5c}A>sbc9nkyMVE1=|W+g$Z386G-unoLQ5@fCg
zmis%w>R2H6*jX?zgBH<4oJt`HnXSftFDGc#C+J=)(5hO{Z0hg-9PoP*LE#1o7tlNb
z6UP0VAag<f1C26+?gs?(LHAcOfL7Td%mJ+yLN*7qng+Bh2DI7&WG_fR$Q%jqYIqJk
zFduX;C7L;))i=oIfZ`X~98kD|%mJ;=0l5dvXJKUgfMyQpz7=G1K&xDk%>j+xgUkWl
z3k5O<%x7U_1kDN~hYw`82k3q@NcezOuOOQPnjHa|1G?`CWDc0m!pI1kWkfaydOzkg
z@b(%8Cg{C!i2D;ktGz(xfL6tT%mMRR7#TscX2|A%R<VHm#R!_#XJDEJT2+GV9?&ca
z$Q;nB6OcJzKGHpm=;;@HZz%&KBb0`xUr_vEyN401js=o_6&RSaK<YrN0rx=ig$QVM
z3&=e`I3c@tIw1KGEdKjH2g*H+EO_o=oC4JcS~UXFCjm(};CuV<>01ER2U<k}(g#f^
z1of?f>I1FP0O^CK3-CRKAp2nD2qc>_O}hd0-wvog9f<#@LDK<2`;I{M#X$8z<DH<s
z3s8Na*+h^#q45lNCn%MI$~$y-l5?LTXtx+FK4JImfmRiP;umHQ8?+p~19cb3-hc3T
z7MKQ^-4dP#n*9U03zY9c=@+!h8B{KS)PuzBz^jjiXF<wo0dP2g#9`*YKr<hb9x%;^
zh;vMXng0c<4^+;9+yx0QfoYI@BnY=h4{ARHWY?DnDF1=fL*fO~9*8)?9+15t^^kH0
zai1Y*6%xpwJWz9C>52ooTM%>~@NEW0Er_@PBS=4JcMiln!D(Q57DjM5g5nz_587P>
z3xCk+Uy%6{Q1e0MC&(R;bcpG0h&XO{gVH0&JoI=0m9wCH4!dU&wAz<}l{pi9A0nRn
z7VkiIy-WkmYJ%buw7LmoKKQ;`21Z6OjkHSvv^tRkX?Fl<_7bG801}VW!1gjAc8P-T
zt7Twh1ks>X@ep?-NNX??bJr=XodDV8gfI_u{}-tI1ku>c1Gx_r4q#*P>^g<rOAB%r
zvU&q(JlQ~LkU7{^S%btu*aNB#ntvuicT-NYho)Chy$f<Lm_`amCGhGtX2#n*ka8Hr
z2e|{({$OHYnGVU<!n2^}bwKI%5U711yFu=Pm3!#Bq!OU&VD9?#|Nnmm24=Xs!1qow
zK<}qUau=vP2GuJtcY*lW+yynS14_5&K<$HuC(d>gY!@Loov<-yg5wv<F2WY5d8#0f
zGB9UOfbv0HkiVh+g0Ff9iG%PQs5+?pqz`ER2H%s-z{m)uk^HTW79Su!$ls8A*%0vo
zHLn9ox37TO2eKRFE?n`k1*#5G&IwFA0p??_{=WbgN9@MJW!@dAI#@aM0nEqTW%UCr
zj%Wu$cQ3=!0qCA)Q2GSZNZ|ol^^8cLAU-HOK<OAceL~IafYR+Okn#jtJ`2F>74-Be
z096NbzXq6(>3##SIKutVT|#j8gY<*k52lgaua6d=AU??bp!P1Z`=RD_K<RcHsC}?_
z3IOvl<0%3x&LILR&jsN97<7N8K-Iz0BXk!M-2I??YeDV@(@5?Ir90$&2;zg>j~@O|
z^E#k(djZrwn7g3%V&sz=s5+?pB=D|Yr1AlL-#P;$BbY{V7i9H2B3*#^Aa|jME7ZIW
zDBa!xwGS4qGr)Yza9scvC!GG*K-IzA58ma6<bLoy@C?xV;*s2Mj+QP!e31Lm!xd^?
z2b6B#0ksd(?!cX{k3iMI+y&lkgXAtydmI$sU>eC?mQZ)yg4PEhKFD2A{T)!c{Q}f{
zXt|gL+vNx@N7<M&LG3xvYB1>P9D=J4LGb}vT?A_PfoPEbKs2a4L#9FH5R4C6eFl>U
zt!e|=N9wK$P<VsP1La!~4GIqs4Ko);<FXg#Pv|Njg8l^M2ax%o`V2&a`mG=uW)F<U
zWgl#nA9Pg?$-62*?Lv@!pmrpP2K9GAG|XP)RsAq=P&kA93F5<cHw2}`gH}lqy}JR_
zKL+UswJSk1sDBKiVfMmkT>ggHOX{u)P=6X^52zguqCuy1fM}R~FdCP=pmYgJSI|{a
zEXBpC;MH;jSMh@L5i19%9|5ut)c*$2F#BM;N<i@m69<JeEc`*?4YIcsx@wrv{kEWX
z8ps?_`xze%vmc}mWFLqIsYhR(2g(Pa)p^0-(+k+a#Ry296Lb|@S!O<H6(vVv4rEnb
zP(?gwl|2Xev=9b1j^vzd(CSx?f+Fy}=NzfU#h`EliG%6ll1#`dw472<IsykXXtf+P
z|8jr^7_hBIgT_BcCUo^2G#?ONorZFct)VGwO&4fsl@a7FT2oWdEw-pKsS3s>3dYF_
zCdLXT$>4QYW@d;RYaf6@a}<w;z-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6xO0<axppq+G}mF*xJ+s>@f`voEQ6OP_52-%qqyRT*RenCjS
zgWVr9dcWZR{|uw|3o?T42?Xr`8@*o;vO^Y<OBfhN?-xW3570e+qxTD9?i?MxUyy+T
zbWa;3-!L(P?gay#G&6d?AOi!aojQ8IAfz1z+JOn$xemJj0(5ePF7)IANV;KQ7`<PR
zfdToxz|s2!K`Db7be%Pb!7zHiAmrXAP+)*|c7kZoj!n=>9-y71i2D^s?-vB!$25As
zAOiy^oxpZJgHF}}r5D%^anOkhAU-S|!DyIz5Fex;M1$19b}SRS6CQLz4oDxao#-%g
zaoGpjISoqJ&>g@e?}Uf#Kqs^_9App3ognvs{0s6Yh!5M5PU=p0(1}tY{h*U7Ks0P8
zILLeuA4cQyH_Tq>PH3Wc;G^$EhwT`L*$1O>*$dvH&A`M=>P~pjNnjv<jovQ^DW6C0
z7lecZ?7li!x`WZ^`3-je9OPs%CT8fpH!RTma#*1E<*<z2FF1O?AgEqv7`<N*T(5&p
z!~vDNpnL_Yw_xQusDA|#AH81?QVxN_2NWJK8Wv6<J}BHkG)NwFG7+e~0lkNc1$qw^
z3-mrNf+zos-Y*CVZ`g@5xM)~-gXBTs528Wg4>~ay6#lr%|IzyeQSaRyy<c$jenDmi
zHpD%Fko%ZN?-yhMovqCVzfW-Ve!<cE1wnPrC>{-g(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!3hxh5+bRCeUqR5Hp#k-G<&02D=>$w8j8*ixZ5F1fM1^JPlnv
z=oTiJI*@rF^&lFT`908E#9-=RG%ocgpz1-V(u3@Q(YV|LJ|>=lX%cje!Zg@zOfAq`
zncAT=%pWki3o1SXN<+<=_7u7X5Hu$YS}VB*a=V)zn9st<2$>UL&IH}&1G-fRx<+9d
zIt{(;4IX~XV2hciZGo5v7l+;U2)fMzWFF{t2GFfiFdAkq^cFa{KA3qsaF_?0yLKSq
z_Q)5|a3^$oB;=M1W=3du&xX2>5wzy3iG^_v*qaOtpshX(pj$lNK+F~S0i^{X;Vq&8
zr5&Jj1eAv9hxiL|TN&)OHITnRw_<{Bdjy%!0>0%<1QhMy+buzJW*Q94jIdiJ7ogp~
z2y!pzmN(FdEGV2o{sG+@2U;5gy8R1eE-2nX=74S~1oN@n1_`>w1KAwV?FGo@fb@gR
z0j)6wnFHptFf#r?a}Vft4rFsc@s4Z`$iE<SK(}{*%mMSU+y)6+LxpS(=#~v+bHKMq
zGB7fN);@vE0rRok1_`<~1KAwVnk8g&K<OXk9?-2CAalTcEVn^|Zoxn{2XqStvN@n|
z2blv}3k5O<%x7U_+yG4{(EK<Fv~~$(4ym_F!frpD0LdS^tl)&j#0b3&P!G&yU}Ag#
zNq1oWpZ~&)PmuVa+wP$1rhR~jPXn!C0=Wn3KKSiNu>AOk1F}Z}v=<QMFQ|IP84!1X
z?E#rP1&t3iZxVVw1m9|j<rYlP?R20$GU)jbteypN3noY%D4)RcA*4JLm<GEAlLLB7
z7Dyaa-h$F8$bYc%8AOBf2`n67w@ZpZ^}%kllz{jLd}|@BKES3=0jiG$63^41>6)NE
z9jHE7eFaU&@Y^L};g9Z43#dL=y#-CT1nqNy>Vwr^&~!>rUjS4etR4fcfdhpntp36k
zp5)$w35qvRzJlF?38`N&Z>fxdx(gIOpj#Lr@r-#3BSai=3nNH9C>~+AV8Y5}m_K0U
zat50Dko16QK13X0ehE|`s9XlQ3ld(qZ^3MUst1)9AoY-V!L$b=j<5%0FGxM4oJ7<s
zkbEjUs|RW>EL~Ci7R(t?^Fif3$Q_V$i0N*KIBs`?(lMx>LXQ_vy#UJRq~3yA!wTLD
zfpH5a$ep0_8B8PH)@8@Qz<})*Ojvsde7hmiEtsHs7-SBJ#<n-w7VHtsTQFhm9q{d5
zNalfViv*bmqOq9=avvxhz{cXa1#<~BK0vo=BCFp372gA;LFRz=h(OC{jN9ZOw;3XC
z>4V-@3BTnI)J_1o7fd6CqcXUCjkrw?#0R+p)Q&>Fr4MQz{1(hBXzqfQd+4|CJ%Fl%
zx_c7zwo16WaNU9lx?K%aufT4>1o5%C3u+$x7R)zL`=H@T@hzCJ+Z5G65x~Hl3A;5B
z#KjjMGSFM%VE%^QRtfhv`1VUIw_t+WUC90h@j?Cu-9CsMA5in)w_rkUZ{z?)F9XP3
zxZ=YE>Mlq*Cos(e%*VV15OV7x;adP>!1_5vAmy6iG|26Tn0o;$pz2`Z0llpf9v<M^
zFBupa!8B6()J035AU-HOKpsU752$(YTQFOo_Cd;L+_wczfU1MJe+8J2>HZC1aYX)t
z-c|{BKS)0)e}QQv_k+rL<oE>fLGA~&^O4;TH4lCZ<{qehuy}&pI*1uhH=yc3<s-g$
ze*#qp3xDWsm2mfiZ@*+<WCYVl?l(pYe-Iz!e)RB%ng_oH^8?gAn7g3%Vw78dpz5IV
zMBjpGismj5ALK6daE0D}3BLuC15(e3z`|7m%*PB@1+X~b^sfU|2XjC4wn})o;<^PB
zG@gK*E<k*c`_aP{Y99O+Obe)egwnMOR2`^4*u=o-1nGZpGD6!+j9_u3cm~}94XRH;
z?er!FMoUP)Kme{D!spNf%d<dklLo1S^d}JNAbbuz&@IGGpne_H9kB4p0P_W>Ed{sJ
zg=c}vX;8j_w0{Jq{e$*fVC5HBo`n(I-UYS)mO=G_%3lx$xf>L2AT|gWfX(L+ftJ^!
zw{upwfNmWmxJDP0PeE%<LH!^Q4XSrQG$>4wX;8et_@FhaFnQ3p6ljeqxqFg8<s!&j
zP`ejIgT@U&G|YTZ{s8eo_JC-RILLm;I36npwExLW>YgOfI0MK$P<t0dgT@&^G{}5p
z8kfIe_L90M2{bMNG9T1G0MVdv2@p-Jy`b_76yBunNdk>yfb0SFZ$LDyZ|4N{3qkh4
z)-vOwVg3fmgW?rLgY=Ew&Z$7&?VOASr}%6<*2;Zm)bm&?m-AU+>qPdIR}~=TKLaS+
zFt7;dFo4Qs1yEoMF&Zd>g;^YQ7(k;`pcWtlbAmEAD2cNaKm;2=8kr|3bA!?!%L0fX
zsBOf+d_b8SoH;K*1RsD^eo*EH^(0sr1t6k~f?#1rA!TmRh$ai8unq&LtIsF`5f|m-
z2K69W7{#FC;t+8OK5o!RAq%4<R9p%oF3rac8u?>kl+ghX^)kvr#O3(71wkzYMtP`u
z1&FvJA2(=ZiiJ@LDy|F>SK;Fp2CG+vnxh60SLfpf*T{?-Y#;%Kj!>p6SqFbPUP<;9
zbD6d$Twu?!o2&17N!z=e)-Ia&^ykwT-tPakJ{;zsk&-I6qTbLT-5@PJHfX`kq_wH1
z_-YMaiJUr-`@G!o!ux4FyJvs-@U&UWW1ZZdov{b9KC_h-MDO{uzr<n2!>5aupE>+z
zSKjPS=YOYs*>XE8?3tJS()0hbsb?eiE~#HmPLtI4n{Ru)Jnrb%&BC!9vm>tTyK%Us
z^{y?)vQj?f?H}*WR5b3MtA4q;czU7W!UU5N6V8ycMOR*Wq&6EF&vVcFq1*ar)zz6t
zem@cIN-WFIO4*iq!O?hkOHGy?$9eC9H@iHy#%czN8oAxM;L&)X+AOW7d8&BWxwOOj
zOo{x{tOB35=?Qh3911L5eNW?u?({I##g%>Yg*Rxho^bgJDk>QS3<MGc6c`v7H5o54
z=z|g%qd%Bj|KDEOX(^)~+ZI7fwiAp>XBe6ESp)Ym3fZ#;E>d(_&B&z38n~BH$PUKU
z1#@j-Tpcji2FBF}bFEne7b!chWMtIi2-+@a#}T+m(Rno^qb^6#PDVjnFn0wbqYg*V
zHbEOOZ#5&MCMQVJk~44zqoVUlCPpo=Vk@{bm}?2<t^pgoixF(HQs53qCIY2faAJk@
znZa`n3Ji>fprVX{fx&^HfKiYIa*H?v1Ea(Nh6xv#IGI_&8PjvE+!qcL&$V*B>MO6-
zd{)_dWW&+P({$%8`4V7hwdQz9n@0}Et{8>`mw6br_QcOI6%S$YyUDP2s+=R|#ZJbM
zIa80NBuG2<Px_zIS#2X<@STgp*PLlr4$pe0D%D@JY8J74+|LyCFXbO+T^)1(f8Otf
zUq!ZC^%aNSWAM)XbdO!6oJG2DI)8x4p|Jj>&wn}C+*vIP`8h>SbFkg&vA=7^z`*eM
zwt}U(aE+Y^$IaX9=lA4Y6TbS7&G_x&!?_F$40=Wi&sIO(7=N1MxGsm<-A^ZkCB)g@
z6o&FGVqjqKf2;5#mgmCZs~lWMIDa~_unJe6VEfd*C*PHkf#LFJ1=B5;eM}`dvZcA6
zZ-1L2{PY@|eO~fs&_tM;c78g`oByRxS)=ahyz0uI^tSbS>{^b;zT8RoXM72F7Iaw4
zlW|6>-T&YB7ppjSnDO4ovRYsMQ`nuKNBE75k;l@WAbAd{T)rO{Y<YQZ3Vh<=@t7{z
z@t6I2(NZSa^Zd;prgM2L65g>#;NYp9>p{iZir1Z>h9^T`gWiY6EiC#@hZbpT=X11V
z2@0&-K6$mFa8nbHzk$rh-kBF>-Qk!XD5N6sqalD**zhb*$!Qq}yRO&kayf1|3E$W8
zKA-(hq@hm0=Vj`2P??&Wb`?~$GWfXaU%uOa`O90D_%Qc6*3#T7Hxe8Qcvy_%St4Gu
z_1JiwRyT34NRaLM!kTCnRB`82?f>kh9P_(Vt4xAoetpbJTQ=+3XM4@}EB8z~{oH2d
z%u}tC8KT~Hv>!Hh&_8vgQ+9z-^`W;ySGBBPo$m0F-|Rju@aoqC975&x&6jytKd)>(
zWTq5+HKjxS)ofEWt$U2B)35!Rb(JCIKAZKMP3f8!b5x3+9s8cFq|4~NZSHny{Taf3
zt-I#32#LsTpTm4+m;I&9D)Dm{7q$qx)k|uDQf`XILhJ9mWf}{u7fxN+GL=WQ#2MsW
z(3}@2-7^X(fvXf|1uX_pIly9|1FlpYKzs6-19+rCq0W*35i9@;Ht<M;E0YO2450dB
z0a$PYk2JV`H~<m602X|}BMr)PEFW|jK>3bQ04&NV$RiD@8-#QiK$QWbFhpF0M;g>i
zVqp}8SjQ*^5f|r?hSUubIt-x7fKd`6F2y4asRN{;;xZ6%SsrOn9l*jU2Njoxh%4|&
zgGR4d7!{%BD?!ASd89$3J1mSUQ1z-1aWx)k(C7vWqdHVv10t@;BMllgU}4mPifco}
zb$Fyfy>S*sU8uMoL|mUoS_-t2gVBJQ0o1)@VKjs~zzC#(h0z$Q-UP&DW;Equ1_v;s
z8C01$MBIW)8`KMAVYGw<GNTnl+?q=p)XQUGv|-=?DPXh(iL)@;F>rvy8SNol2V^c2
zqa!1u6Eg#-+sDG_42>Wch)P$ey>1Y$yAA`WSI6i9;xaROaxsIVkD1Yniy53c7`>tD
zeIN>axwOH(6GlI%JN+Tz0bJUk(OeeBK&W^SL_C;F8#F4*!WhE90b(!)gTz@FLm4<g
z>KVg8TqeeFM#czc22k0}!WaonIZ+@f7RG2D22ekUF$TnCW{l-x1|=jW#yCdCcxDFB
zP$UZ@Gz~K*g4D1uCPBTN4B|2~rf@NXdZ0{<sf>(i%na(_wnaMBUl|}ZER2~@OS3>+
zX2xtTW>BY#i7|(TF_(cy6BNa{ASqBUokt7A&4Y0B8F;io+<XYPfPn{ef(0WuJFzep
zGVp)~$ruYEvPBF$pvgYQA_%vbfkz*tw-~}LVc-GvyckPBTt>!HHU?1j#ll#o!vGp_
zWGn}XvoKcZfCGxL62h%w-~i=L#%d6knXyKLgRvGgFvP-G$G`zfYmD^}nFb9G#zqsc
z=bIQfK$(}Z86wl7!NJ%H8nj_yY-0fT-Wc0KGAxW8I^a~z*a_k?Gj?fkFm{6~Bo@XV
zs1dyonLZ5;#(r~9$-_8-k#Qm$1GtaKI0<UpWQeLM8XSyMLA5Xo<1_{iaK@Pqk(r^v
z!8p?j?6_GB9H6YvI2$4}M}vcLE@)(dg>fD<Am)Q)SQr=RfRi}mLI`&e)WpRgE;Hj2
z4GzYo;L|-AmoadF3K_=b5SbMk9E>YLa||qutDx>%4Ut)+!NIr|G+V&JxDKjkJw#@M
z1_$Ftd$9X9LG^5g$ZXNzVB8AsDKl<^x_moCW`_m`<4#Ago?TEqyCE`rG&mUdI)P>O
zLG|p1$Q;n%U_9symN^9V&tZtn5e*K;qu`!6<1whrafr+b4GzYWuHdjg#mIP?jlm79
z{|o~MsK{bG3sH4WgM;zBJ6PrdG#oF2WLOw4F>rt~J>z8v_lgcUS211%ahVyfX>c%J
z2lvbwZ!mCx@*d+&kPHjsEgf)PV!RFFGBe)M;9$J#3AW%K0|zL_G2VyBJka1^eCP$1
zc?5OTV~ETX4GzYq-e8$$P(9BfGA}eZ7+-=10vKOGWnM#M-e_<zzV!ur_Z<`Cdp2;D
zci8Q0NnXRFYyU;An`9Y%f0r$PNwI6y^~W1JRz73@6}o=!+D`q3KcD5DTqWc>64oDj
zvrf_YsOgi-85@7=o}J*k!cD`xe)G4W2N6u~(j_;QF<iF2`!sUxk|}Akaz0qRJ)4$W
z&i?ClP;WumU*2Ey9;r9TxJ_a)7l?b=(7^O+(nXaA@<->sQH_eUzHhnu<W83fXEK%x
z8&7aK7a?xjvwlxm!t-e60J#soUJ}294s{pnEdA6aaf>avi_MhjjeeKK=~+c*j7;+7
zpV=1FcSwpGXjvayXMg+Pfj4g#EwkA9)ot~J$fO13HA{D#C|>d}*5sh&!sYt9g7sOS
zETXit&u=|*<MO9npJu%JJf%!)LjFW1n~49;Mfq$g*AMF1OKdtb)8%;P_UD`5yRQCk
z{&t~7c0J#+74I|L1w$<+3cbGc#lt7pw^82l?61SGG`!dyGY^EV{Wd%Ew2|eyTLwF>
zZ~wmic<_glE=t9FYF?YpQ#r7`>X%x>)DrJ+Z~Q&49Q+&J)yDn1GTDYDU70=PsmM<j
z`M+l_zltq+8JPP{+3n`OPxnL5Ej5qd+|zQ@M!WChz0lLq8&`O#FBILCGw;yX%w^jj
zYV4U>vHJbhHJN9PK9=vbiOEx8xxYFfyzbL^&P(Tc1Ab>`Oyzn$<!aLBOWI*SA9*fd
za@@a5Lr`#f#vjJF6>pZXB$sYJ6??hBX7=F^_UE^^%w9TU{>ku0)erf02CuGeJE!r$
zLH59%)6D|gZ2xUJp2&YU==_Z7^Dgl*iyqgU#O;1#X>je~61Hi^l0tioT8co;BnE*1
zfd%01(g!ByJLvr-ZD!{+j7}?<G#R%sYO)9IW)!q!U#RG`mXT3|J!l`JpaqDxhLK5+
zC1@X`kR8h+MW^+QOu8&VJDG%RLA-U0mW)9=m^2x|9V|=6puLP>_9{k3Z8ng3)@%zE
zoz^ij>aYgwXB4zyU8v{;l4AwSL3vtWo)w6<l95rLHE0*3pgokQ0p?kNc&iv0^;tmr
z>{%dg1iMPm4$9L7xlz!TWuYcx;0D(f%#2zrAv>7`tytVwu`%i}hwow&v|)By!>G81
zkx`Q+Xa}>PCChR}r=?8#AXf|7Gp=A%3f#$Pe3DT;aHH8l4aUHY8jOKEm{d<Qx~^lk
zW((QDqRr;PxRRYwn=yiM2fLs(qX*MEZYB%P2&P>;LK>V->zG{DGAoAcXJXW11etHe
zxLna`1tXIMD2RkK7*{ZYx!@2J(qvr0s1mqbX@mK7ZRVhzU~ASg+i->KXV&3zU&Y2`
z%^tp&O-P&FX$7+eQ^-DMkULf~Gup6*>|z$wVRc{4X2l!6hfRyuX%&+WW5{l1rIU<q
z>zNs?*hBX*3u>`@Fs^5_=L5M^pU-(clOAK}4i*i@GmMHGkfAoC(=wI74UF0>fjgK4
ztyrAbGh1*4?`78HTES#5e3MaM*m(_0$Sx-3t=1xU8MQ?`7+0`IGVWwi+{I`ma+gs{
z#CaWS$X+I;J&g7ucNz6XJQ!E9SqMck?qJst@?l)V8N;}fO>w)e$X!NVk#o#JTU7%0
zu&6n&V^R*<$7m~dSXa!8aScn@ZYIUhJxms&hc!gcF$Qf{+{I`ic34B~Jcxahkx`2=
za0jEH1>;#p8^OTcjJkqr7;Qzb>WZFY3fiu`$wK@tqlUQK8rJX~%!=FX#qToei+eGy
zVGG;Mq!hY`$y)p_qc&XHO5!e~mIOkY*;f3juK0PTpzTV#8SNyl>Pfh+X9l@vyM@G6
z4GEBh;%-JO@vB<mVB7XF+KOM)6+Z>CIdC77lJiPt<)FQcb`lr$BtU|SR~W6BKtZX?
zw2sMI>Mo<U)LCXLzMwrUT70gn*h6<SEAD25h@WD%<q6u!qRZpDmMwHAv+@>e*<H-q
zvQBG2*+Fr;z2r?MeaTZSLEF`KF<Qy(V%C!LVqC=?#kiAQbw8uM+%6`4Ikz>eVY``>
z19vkkZUA+X7(gu|P;J5pYJGx482uO+r5L}kfSPq+zC5D<NJN~m4>H!kz$neYXvHYR
zG9AiaV8Fm=wSb9H4qO#6Ffht7Fa|QpvZzD(7Xla<11B&so`>=ol^Ga|83kBwK=}p&
z42;DLOpHw6;)j8OQI&zQ;{XdclnavXU|?c2h4LBI85pNMV6lU8LDJJ0m{>roZ9wjA
zU|?WeQ@{wC><4if4H+2sF$%K0genI)=FkKt#@XO8GzJDn3kJrkj4~`sV0@Vi42(Az
z#h4hyLG>-Ded@-*_?c0PMFGYaEMQ>##wfzX#3^P4?uUBsmG~o=<Goj+H)o}I%4FTI
z_J>@z&C}bo^iQzXzO{iz*D=(lwD|EB6fgv{zpj&RC}RxFFr2^chPSbEmBC6*V{WFr
z&F@qkLS;<OFf$(dYpwiHoh7_}@;e>&LRK*jlUk0{Ii_*`S--w!$8F-gxR=f2ZvU~s
zVhR1V?AtF-R*++!$WbWxiAPy1oKvaboTSDT7A`&?j@!ChHMmVC8^-Ml+h>%WV|@1a
z&7{lOQ7>0>FIMA8$ey!C^j{$FTDkg{ph3|S?d^G>&`N$_sM7!Aql~!8kC%Ms+a14u
z{T=ZB&?;r_S&R68YOqd~NISu++Wxx`)H&+r-}efn=htH6z1tEpru3QB6bkh4JDe*_
z5-$5~w2NEFTCjG5o2+=ekI<c?OrWL_LsEk)w^pW(@W*?`0%=`&pH=gNxDW3W$$D#j
zMyfGd$Ye+RbdZtu8PleLtf)-Xn>cUov(6<)T)AtGh)#0bTrA13m?_C%AD`CcV&*ti
z{nvM_4t~35@QB-Dx7dYEQ_RJx9<m)3KUkuoeuZ5sN^_-#Y-`V)=|{QU4~naZUhojL
z5am4_G(#U`__mkHogmB9=NV*Vo2)f-h-zM)R%#K=y+K<dY}dd2k{8n@Yia}Ut7+v*
zO+TesuTajR?xnY$`+AnN&YIX8qVHrSJMIZQP_mgR^`Tpp)$B^XguyKy?rEViRuNV+
zMW=8}YVXvarF6ndYU37F;X|AClGG}*xmW7Tp8H+BOr+^QZ|={ssUU|$xc8=m9CEfm
z|8Q%cyTQAUxq=<HuWjXyIx6=!#3fXu{*2_L<NFI0k2K5Is;FE!clJ@|!vmt+mPZs0
zIc+x+b^R=9WU|j&>5{UPoT}>U8+MJG?<G9rw)m%b<<S*$iK?fP2g6%RREjQ0$;+$%
zt%z;tIWf7Fo9UoZiQrXUi5yqSMPa*>R2nx+sVb<)cE{|?%8QmcS;EqFMA3;?Z<q3l
z#p;S;4qg0VOF#Q7v!(O$WEfxI{5Y@kvCX0dlI2_xJFi77Y!FnPq{6QJMoa0B8E;$O
z>DQo9`-U})w?O`4Sz#N(`JvB_HSI!vq(Lx;AhWD$)<r8Gu_@6)c{^2_Kx&wc!c0NE
zwPM!=phh}_V3EG`3j4nAW-PJKoieo@Ioi8a3|6k605bQORMtL_o*nO$CMPv&H7-!s
zn!x{pSsOey&~d2S3REsFT3~D0tfpa?&26xk;YO5%V${kNJWGYtgtUIH7F?&Uvap(W
zr&<eJOo}K^*uB1Qe#QJ(*Qf}enQ}|M*ibEwU*TKLi?caLR6gF5SO2b__PcGXoWt8I
z0<W&A9?84+MEvGe)r&Db=Rg+SeIR$G<($*|pFHoyUKegZE#R%Jde5ZRRs6+y)o0J7
zvY*>ko{0PTOrZJsuOgwf%R*AKXMCH&<05eGWYT1<#~eF$IWFYZ=}}#HXp$AEh|1Xc
zyYHh?ud?v#1yUViVqFh4#5<OAO!y!xHfK%fQQ`cv@(0g}38gNJ^HBd?$Fn-UqJXcf
zPxtxf{SIF_1n*2gEl_N*m1n0^)62LhmM^-euJTSjqAumZIZI}xh3LWV-esC^KQL_<
zGcS15Y-`Ew!1IPNoW;rgF0b`f$pBD6ut-oJ6b%gTyW-xfd#A>)x*L1bXJ*DH9*wH=
zJufGQ-VPD$ysCL9a!;Gm$IrY$c0JEP17*J|*3Jcm#lcIqEK9!!KQ?#be8zJn@r@iv
z^5Z|5zaYsgH$$UESmVONGr!N$Nb=Jcyqu$zdglBc$zvfxo<5-$Kv60u+UCN|z`#%w
z5ehB{oNJA3V*T!H;5;);@WU7FK)=ral4}!$K5(7g4N^X5&C)v{<sP?$L5n;YG(3%4
zrLS$zSi!Q6ukzcbRTCHTs5YvJ32oCBj8oQJVKUECuD9)cg06^UT-_&k8*#T7P3@y1
zp6cbCYJ&Y5Y!wfi{+sBWye_poML+5ANz->OPi9M0s%ig8k1JQa`&N72otjf%pRCt%
zFZt%3pC$5IZ^=jZ^Ab)uTE0hIeH1fdv`!s2s|Tqm|E*PH=l&wdGh)8PyjtbUQZ~Dt
z_Xu(3G_SQ4xE#FOY@Y0Vp{0-JDD!?;C>kQ4|N28RPxAJg)q<;4SuQzA$}es75s(#-
zh;*MV?5LyVeKguny0=#Q`3=5lE7nR}liu-D=S{Qxq3z3yYu^i;tnYYy>Z@UZw9;%{
z?^O!hb^l+v+q70)<oqWCH8F-)9qW5^c~%F6u?xn(Wu10bDljmOBPW>W!oCyF`L{mN
z;`b8WC7xxeJ$u@*LiLMp<i!7!=`JdlQT=?|b}I*u8*`0zUse4Q@uT;3%%4ctpDI|P
z>Gg7jKqK4rB%zum4j#;bJn=JvCxmiJB*@mCUQ%^`nfQ^fI+`xh^G_FS(_VFVgAj{=
z@`;VTc4E^rIZ_2L$_Plh$aq!zDK;H4V6kkG_2hlXsTVUp`JEg?omlKc2YwB!9Ja%^
zjZ{px2A&kRUin1-h*!!c=g%x6d+yx5Ca{2o*Y1+oF69PQrd}SGh*h0})0x<148=dr
z%xhZnI%b>rpT7ock1JmL{Lo1DH_ukf?b8I^K67yEh_!q0Pu)Cs?RD{oj|^+f6b`<d
z{<!81&nkbn?}E{9IB(q*n-E&*a;a<6LUDm+qeM*w0r`f1T(&%?BO)6GSM_ooKP2Y3
zBrkGF^yO{h{9lbHcqs5P1oF!&@a*lhm?pUOH@Dehv6$WaS8R4$nl7IANVwll;ZLHE
zz?q9YtETYBPxvEY;rNelg_3}pxZzKO(r(2lJB?SX^Dn-*+ahr7$Xlo1kI$QOJiT()
zMc~?zmu?9r>g(qHXZcg;D$1L9#w6hQnmp;RMW&2;t-n-~40xU8Of+(<F4{=je${4T
z+;8*luo;WQ6X958nLnp_f2*7Ru9J8JYR&As_<$2sq=-&aaCvdGy)a4o6VJ7k4HE<n
zCzwS_i><Sre|+nfbME5To-lKU%1pkwZ`zxEJZsWa(*^ThnXla^_9!X9*y+cX4)J1P
zmN0#}^qNm6mA!bPwc=L@o|d)vbVN+-xJ*tjkMj|6&O5B1Z^&D)vE}58@=Uq+^3V4}
z5-!WFG9Sflek9JnnR&8?j4eY5Pd>-&DRmA4FZ#G2&bhn!GKb>k=@tT|4A*)5w3#MI
zyIl0=<}8(r5?N?z89iHOox;aTE5CUK|1|9Cd7EEbC>x#<v=DIIHJk0r?u3QRhgpPo
zES{?_zPXy0PuX<2;SEVpYjSIEz)4WSAUIcnJHnt@SNAqM&)u&E0fMHpt;JT0M_V55
zD0Mt4EuN;r&KoClC)0b<hL1csll80x?`her+$z5I(o%D|?IpG175*I0-b*K!@2$M%
z$HSgFKUVO7qpcH*xX_v4I~5%A-Qp)Txqh@rcN}9%S6IrEc<ak*!9sPrn0)b{wQmmf
zJ+3qnUv!__^O5wlwJ)#D-_0}K@G?)#L5Y8JrySya;_M^N6T`mphRihPcV-6{m+1Aq
z6L_6yC}@6<^Cd^WL`9Q8>$)q?omMa~@oc(rOEM!uSBmAGmHnReArHAi=ZfDK^v`tI
zK6Q(OSmk8<k5(~B&kV(QPM_bZ$&<$H$f_rlk@3Ax)TP{sv$QI1l@ZV6P0m4vf`W6?
zZi>Y7iSKxl>Kfn7b7ZZH_7S0mQ?(03-_4g>{(r(3jyXJgBV2b)7M#8LjG@TK7MUQw
z<F5-dd35;Q7QW)sQo5`q$YLtX8n{pHfgO)|nY%!>psA(WY>{9sg_<S$p1<9B+Mavx
zCkP$rC~Ohcy07^5vx$M%HJ+J&Jbzsjnm(PQP;_^P(yg{tJ2>_*7b|cY^fkV)V<?aj
zmSkb$^fH+^Sx}!R>ZZsXUZ?IG@slM^uJ!(ML^k}`hcvCib;mi5e|l%|<Tg)$*`a)X
zu@rA}hq;pC&l#n}<i!3SI{k|y*?~u`kL`s}l!VXg7U57iu9HqjI~1K*&xiM?{F*DU
zH(a7ncyp&<$m}<J6+gS_mFHdhV<aCcHo?<cMj(ng!VFYiA1f7hF`gpAc3Y5hgFJ`Q
zSxLc(a~p4gWSAEiSadSY(GwN;eQWiGUH3)y77G}P+jWXGOkSe_YQHtk%HFW+vc&Yo
z{Nk1$W~y7V^It9cr3aFk{eRPIkP)VvZ9R4gEc32%?6>iqcfsXR!&=6R=Or{#MZN{S
zR@dx!CUPp%em+R$!{1io=6~yMS&8gZ{oukfOQPI@N2cAsQspcU&z!S~w?K8Y>b9T)
zkXk!li|<iS)-oNn5jmmE-5@CKs-emMqAOsM`mChD)eDSR7270~eu=m&Itl9JF?73V
zZ9Di-#H?A^QbJMk&J3voES=6<zS;$f{GBbiRc!i=|7~{`?NJxF@cF2@P|(*EQLEA?
zWLUTI@4XefAT{rb-_<D$IamEAh99p^UwOS(!ok(aH`LT$HYeMA!8#@RWFPw@iVO);
z=0!cIVUcrF$!0Im@{V0*RnI>?TS{nWL}#l5N74kIRQ8XhAU~-2XFmXC)J1$Uw#lgm
z|HQd#uAk9#cV&&yNso~7ou_{;H}1H>n?KG6O%HNpbSpjFHa$(;_oLKH9;wOhd&<u4
z<9Jdcq2;IZO5&H5)U@r=IUig&9UWPC=j=Gewbj^1+Dd4bn1rR%^G?Z3@xsyse=cQ?
zJv+D>xhHn;#C8<5ft+BSFSfX9&kD7PQsG@vVoN1FyIpve9B60YcbuRe%_{CE=Ta%k
zH1)i<&H)vk<Pa0(^BX_>6|{KRuA@=+_t)hr0UlGOl>s75@?|^agZh<pYog9>m)PDU
z>a<z3+GIi`mygIHkJF8k4K2(G0rCcYb^l%&Nle@(UY7Y}zDju-k4f751W@bfwA_||
zppq-(v3bm%>U$R3^S(Usm?g2(hUdm9^>rY3dVksoPN96sIv;lKo8x@z?($uQmUAbs
zG_+aOBtOl_zIZ{0j6<X2O!1j(M1DBxa&1_rV4vKqXKX9M=Pll`fs;XH`3xTGZlMhz
z`-B9wnL+lgvNGSOyjsCxM>D6%#HkW$2YJ+lPZ@&jo6WQDHYjR7Z`RS=rkJ>w*}x*J
z-*Qr2$U~drUU|;*_Pv#<%?^S4r~PtDaSXefD-j;@*Xvr9K#-|~cc^`g!m((npe4$>
zXX4L(XW+?hU!VEkU*JeOk6O*K%l-D#zNiEr^psU!>?6;2N$cCnTP@makA%BcHVCYl
zFR|#?`b7#SH_5LsQEor{!JKoIz;X*Ep{^Ax<##hlH5n@@Y;2HK5jBYr2;*L}U+849
zyz%EJ99qY><T~*2Sk)*UI=t3I`r#!hH8Z)C*C%)G416NU6Flu(K3{HGbmp9hjm;cf
zo3pLB_UZ?H;p@0C$xM+ueb(o-);llFvGQY?R_$-PqrEYUm-npnI}fKt{pTMloM`cW
z5TYt{e0foXN&5tj@P_x2AG~=)&8JrLrzm>MiSuTQKhuz6>I?p162Mb7Taj)1lM3k)
zF{z4rx#qgP&o8gzQA{m}5VDr{`TFUviQL2MPNivzuJ3j}f0z90bAp+u^}m3JkL?s5
zUK1&M)|Mq1YG5ZVE8i7)p_Q>iLRCW4y2)5ugGbw>Z=*A_8QU6Dwi|YZoU426H#=`P
zDV`_6Q!lpag9el8-d&b6R;g?<EZJqMzu)pf^@gQZOqUkwi|C6eS6-bjnVK3X;wN96
z>EHFGP$FWwcfE9PPEhYj^ClIy-STW(<RV^$$jrZHIyLj&M2(BBvX!p-^X?@-v)U{(
zVYOoN&aLybvx?<s>*;My*vw)&Pr^A{b#-pN*<XdcsKk{<(GfdkX2`l<maE+xcta>a
z#HDlbOi3=L;Kvs7JZ$@GKk-S}r`uLaKjIFl+VAmJWt)#Y!|BQubAn_(Y&1=hU-(Z$
zxhOP3`G}rjb&13`H}w(+w_DO`OBFr6mAPbU7WeCf9SD$15s7s=zv6d$zC=QSyyT&n
zlgAb2f2|5@SXI49X3_c=ALN?a7nF0Z7x`9ka8}s@h4}u47hJI-^E^!3I?r|T&2Ram
zQRA?OU;Afr*gAE+f1jgO^!J~sII^ni^p2ud36*<?-^vNjmlk+ncvwWp@~CvoG@hW_
z5<B^OC8FDB?D*5n@?|-LZaUxP-<$URk=H(Xb85TB1}6PKzrOO`v;Fpw_gTf%%+t%n
zb}X1N@9xpqzx)2o*m1!AcF)q6nJ&%6x3#1es2$m*b-1F@|J_mc9}`|2IG^}I;?{y~
zDb`&|>@V{7u!yi~P1SkskSltf&3nDw?~<ZW%`J6H%><0IrfGf(EzL7@=W7mUt`&KG
zx4UYol)L=ytsLLQJ-o_A6OQb=RkI-@-DN^z@%kCEFD44={Qn^HYm$=g-$(ktCWczA
zxDtPEyBYtDcB||APer*)nN`hREX-C??zM7ZxS&uG`*t_OP(>EM8=N<cf6eFepL$~6
z%J*^?&el2zulxOPc66_tx4Qe^6^A`?UwF$E6+7p&$y)3#ShUF`*zm-&|6JeI`PQ$#
zTD8EPZM#Q{%!;_Z-Su`WE@a>Ak?QXF!u#`D^y$9!Ql=#qJFhJJe_X(G-mZ;T1yxO(
zY)<TrI330wHdpadA*by6rxClf|5TS$3IsFkX)}(Kx|;p{T)T<?67PARUd%VObduY9
zs<9-4>s9k~eTO^iCpP2?ebt=wncX1sbMSI`liB?pfeKFkGal{TEBtcb{gb;6>94-C
zco|#w!<ULxCm9lNL|m|I$WNDcc5vUYW^s9$dBVv8vydeB+;(=S5*zm2{=ME$)oKo^
z-e13k&97bUr$J(^W36Q9@riR5i<es;nNt6$P@%H_lklE?w?)FceobF><C;llnC|uE
z;Ysg)25KDDEKOVT?0a3!6on_ASDCqXnwV?9Q(MB~8L@rybN51F+q?IkmlU~h?|#wd
z>ZiTnVBED}Ri9g%Y>ySP=lxii^Eug1-!k*rueH3(%={M}Dz!MkX4$rGG4JDYouh{e
zV<t$l#7<=}oHyNE>tmu%_me=5?)-V@9wmi#&AGqwpozj)>A$m|RYqE$?EgJotxj9W
zv9oq@etnbuC-J{+dW%eEPu%Fu%iD8wsQ{yIh|ku;w;TEVjRT3jobx8A_-DY}fTq9=
z*%<fg|NsA>1@JKQRx&^?Q3BD}HlTq=ffx{%#QgpL|342Ka~J5q08v)vHn4RJOpJH<
z!RCv+fYLD#b0t8N>Hq%=FvfyrJy{q9K;ld+jNsKh3=B*RAU^1FD^R@#+DHr<QIcU`
zX8Zy*2P!@Zv~&ZsF?2E$0|RKoU>wAJMlcOp+5mP46C+GNXc_14{~RJb0uXmefDc08
zgm3VNZuFTG4>d;ust&ZU8MGk*EY8Bn2wH3ia#teM{a?Tv2Lu>E>OlU0@(VzG&_;z;
z1_lNZ24+STsCh|HeGFjx1sFh0OOU^z`~oPS5p=l&Xyb$dR3G><O$J7fHIR*HjF62J
z0*p3LdC+>Z|NjNy{`m}!SLQjOjVqvy5FSu<1ARGXKLY~;4+C=+$bX;>770*yr7?gn
zWAXrv_5c3Q!MFwzu8g43)FuW-3DC4XDBZx^4_42@I1Mb%!Z?i=yctq>+D1M|dH`Jl
z0=nD@Gy(?Nzy#*AFiu0i1dCpmbAtTM!oZvbN)I4^S3vy@+DQmXU(j>|-xvc*z94r)
z<)?uzc>|?$FrS4n6(kQzhZ4|m0+|mo7b4EU0P`1&56TC~@-0yN!TFYrxeL6ZiH$iE
zyfKKCITLhX2XcO%098K+N@qjz2|PdNK+`oy9Fz~B`IG^~N6ycn4MPeH%#16b=0Me9
z<Y&-wP*A!B(@6Oll+Ho=x1i|<nG3q)4Aklcm1hgV;UYW>G!+6eCm-rQ5FgonAU?AD
zCWBURFfcO`dpRe_Ju(c;Ss;C&@I3={*9|Dm1J381vq7s(K=B2M4<<Mt>TWn6vLTpx
z4rn6<$lXt%=78c8R33xGLGA~28bRd-h!1i<l+OqnY6X=WAE5d`_Wk=WI1RLH==Xoj
z4Tu7Qkn#}ZK2UmN25Dem!q`wp?B$%G4OjvU%vm6JfWkuqYCbF<;xgX^st#;D15-XU
z+!*IWH)KP^5u^iDeGw!bVr(ochL$Iw4FI6>1gwsQkr9+%K>h^FV<``Opyq<=7f`rm
zfcco=20C~JbU7!;eIS1pfYot`l;ChrDVlr0>X6(6mdE0r8mPG-pM%^p1I)*C4@e$_
zLGA*%X8~9pVxu86pTXl56i*;^AUz-qN?)Mz1;hqnP`U<{SD^F>Qnv<b9!L)4juT)$
zraP#0IVZ?|ki8)LK==jNJ`NFZxx~iY1um!9m@~oc7gpv>(8he^^6CpzJ!mN|sNOGw
z#0$K<Du<Q_AaPKBf`$(Rh!4t7P(CB59RxaXhersKPoUx$<rU~46i|Kz(@5pjUIqpR
zVlL<W3GyTZ6Z`<AVsN=3JgXAoZbmT8!U)RlAeV#dM^HY8xyJ(RKEY|A%b`H!;2#|3
zRYA=I(@5s6h1AQ8F!Man%wq-@FqrPEhMEVak<42MJ|u~maXkb0a`Y@veGZDx0I<0n
zA~8@Jv@s63-2&aPJf{X~?qIvj6BM5k49r=e@B;Z~2Gk#Q5cf)e>OoLDr5+SZEQ|sm
zaZvh#mU|2!J}7-b`HY*P_01BfIUw^z7?`s_;vn<4K*eF@7U;4WQ2e5|V@SQs6J!sl
zoCd`c$euG$dqC+2)ZPMxKgb`AP=A2Lk^KSUBl`n%a0&|pGvghoIUw^v?L3e;$ow}@
zaaj9}K^T;u1gBYm(>W(b`wi4y0kz*?>cM;##%V4%)PpbYWME{3sR#2}7^el`P~QS6
zkKyXUd=|!OpwZa>|1sSIYPW&h1B(YRA4_?SUXFso0aT8H@&PCuKnsFF<q^yr1!y>+
z$0tl5I2$7opz;W0js{pC;$Q}7zJ-_XApIb9Fs-APc|tDB0fh%>BPfUlZ3Kn37nw&d
z^K|wBUH0W2Z(s?c%@_<UnHbnuKnIS1!V9!u3KU+Ta0Br{?Ku!1l#W3(s9%ha29<Xp
zd6<3>4dR0)H$fYYlXJ3(+E6Y7E-zU*K<zV-e$a*r5DhaAM&q&%<PVU2Ma3oYAb;jD
zl*EJlDa`_EO|x=<<YDH4{0TD$v=ss*4%*fLqCwLzAR1;LjE1QP@j?1QG)NuHpQD#~
z;tyxgxCtn{K;sr58q{wG(XeoY(YV4HI)1`T>c)9c!wO^%s9g@CLG3sY4YLnM<FXf&
zA3*7f)Q$6?dK6?2XgmZ&_dwG(%sv<mn(qP0gZQ9y1sX>K@j>Dsds7li5<%%XC$$)I
zX<uq_2_pj=!2=pV?R$_ppne00UV>&n=->^IILICl4YU6WR2^vZ4YK{kiMa(3ca#v7
zpF!<>kh!3C0*D^H%o9>iaWFz?P<VsF1xBOC!{}w6kn#kUenH^_N{?xoY5AaZ7@wJ!
z2cj7=M=$dPhr{S)o}-s}GO&WHD^NWGtIukn<xK;WZh_LUatB7k)PwjS{U91tKEdh%
zc)lx20k^M4FZ0aK2GzrQINF!6b~9*r1r&}jJ}w#--XM8U_=9MWKF|(zP`Ou-h<a%y
z>@w%k%REuTW%M%7(aStXFY`oP+L>0Glf%Nm2Cf$v84%*5mwB4hDpV_^CM%ewDj20I
zBpKH#R2wK5nHbmBD%943h9MY0hx>yzk1<{Osb?DfM&Qa%y`@|M&%+z6?!O0>*9;8c
zBS^ppV}a^V@WEJDKxdeMw!k=mitsBe0XpEd0103oV}T-A3sVCdxIr89wdLNL)xl>A
zC&@}&JfQi&Pgb{{x%6UV)<vWLW;}b2=pDZ2bN@a|#la$<mwD${SHE0Q+sZEdY<sMT
zY2Us5vrkB@D`Hk&`KUk8)Ph-jO8)!V;kWuv{nX;C>{2motof9%G|VqDcz>=$+NU-n
zXX#fCJJMCxy|ucw%W(F!i0nH7n)Ov@mv2_tyV1K)cV2XSW8;ezZ{6!+_$4)KgE*FU
zu3evbN`gD5dxf@`!NF6{w|^9^d3$JMqc!WF`Q<xK^lw?DuaIB-%3o{3y2pA&<(wH8
zZtmAh%Co5p{!lr0U$p~w#R}bzzMAiT&3i7B``RGd?BD^L)1ZT!85jf<1RMks1R6kl
zm?tnAqVHg4gdO>0%eI6`k7<jLEz=TaJ?1UKHq56P6@zwzDpg1XfP$R`G*$%S8ZZbb
zC@}hgm<$XI69f_jm^c+d5y<>qlxM|TCGPK{JoyjyHJS0>3I8$Eq~O$&#@{P$I!?*(
z*e$%%fMe-o1`8#g&Q+4j5A3;dkY#@>!=|Wb8#p7TF&<1g>A(7`^oGm5COxg9p)46E
z8BR|xP3KH}&lLK-wJa@BCVl>wpYlOEIxK&p7~0qE_{_O&F|&@9*ur=T`OiE%j)cji
zF?8NyXjKqpV$a;ka(de2B9SLH-YkE#Sr#qlRQvqSP>OdR+l-!k-KK>26PNRNMOS=(
zEYG)2Sg^&`ZvTg{=;t~*JQn{7v?JPm-0bZaNqvZNY52}%XcPD6&NAWptUvSqB!N7t
z_-dkR$R9yt<5v?^Gg?=;o4MF-N`r<LD5w}f2kw9vpaXY6Ls#&`3L0Nw4&Z>Ct&;#b
zAqBi>g}DKGw$22I-~zD94Lp#ubzleXfCV4$NQ0JZuzb*A0H4+%02T!uxC3g%urLZi
zOkfm-h$A1k1Ii7IVi0lU19!mYNJ7M=c%(t+?=Uk;Yk_7N7{I5vfsWc?lm#gOAGm|e
zmDgbaa}^-6il|&AC|4OGs{(bKDuk<s%2kJQ!E4D`7&W0D)PgA1hRW(dxVorZJ*Z;v
zhDH`f0|p+@b|^+e&<P?ej7AJRpe8n>F@$Tvzyk_GMpFpajDZIfbd2T@t_1^VnG*w}
zC4_6mzym%s#2Ui2VE|2LGBDbLxXg@plER?#h8RHy@IVe2a)6%0;s|mlGozCR2ct9O
zh#?oKj4MROO@o8c9W(;W#OT4u=*h+aI;De!(F=N@iZ?`+j|K;$FX)667Dhj)j6Xys
zK!bxZ5OUT~5CaD&(inpwG9elqjG^GMUdAx!@g?C9nFtLI#z<Mv=o4cU0|(gG(GZy!
z4GzXw$VowQP(ASwnFI|E#zfHJKrD<&P(8^InG_8U##B%t&cc`mbwN5rCPRaRF%xvK
z4+~=!^sta@h)j+K2V*Yi1R&5fFXR}Je27ee1_xsyX!A7-V-eJ}Vu(zM1_xs)sQh7J
zEQ7kO93oSp!NFJwIs2yyDpL)S0iS;ZI(&qQv5twco(+^A8K&7qZa%xM`NYqq;tQ15
zaIlzv=bJEFuqY(ae&usXHb<^vpKHr@e3--epmEPmduOXnk%zKM4C}h3lGpfi#)@~#
zuITdV*m{a(b3^{tyARvfD_RxF)huyabnu?S>b}Qf_m^y6{fGVPw<5R6YgrGydOW*&
zhU{W#xrr`XO^gmVe`Gq_6wP{Ta*Vaz&h*Fqt{@l5qKTgD9WVEr@mj>42|Z|Dw5uw{
z^~vE$N$y_1WdkGr*47L8&EOMHyTLkRPtNX5b+13gC9d%hziV2w@88msM|E}ET~3!g
zlegCIn}3NZa_{c_FMX$;o5^OpX+_)i-JZ8S6JBjNby?<<^BfsHjh|A__8e8XAo_#x
ztk9Hve(^hXxp$h6b4DG^5?U78zT@dhoo}zYSIOTxEP1BM;;k$1l6}V=lUilBS3R5|
zJh36Prug&9P^Imkp7b(DUTL&G$1PF$RGL9dc=e8iciTP&eUVp^sh{Mdk}P!cztX;q
z>!;2G6?>oxC;@teMgtS$1B?ntixF~$hT<kh4Yr`&OoA3{%axqgFfwUz2JL4Qvf>0E
zfWf2*=2=2{8epCUl&1^k*+O}G93Xvm91wlFV4f|M2RBoBiP9<1ITnE+3t3JxDQ;lY
zX9jWXn86%fFvp77X+0B@27AzMCLwz^r`1e~8<_M!juf(Gb6U&9q|F|*mr2Nq-Dx!w
zlMYzi8mbDahc$4KCCf!EmcWI?QJTybbr{z&Dh2Ilv}HZ4%X%zmyV53m*2DT>R^Tp1
zW#=_a%0YV=?br_Mv7KNH+OD+4g8i@tJBX>g1uS}oF=!{F;x@4GNze%pTdmm-YqOtX
z3fjl0v>nWb2-~qA)?;^F&lI+sNoBh|`(b@{s8%bE!&)35HA-7;I1cN8nJQcDI1cM^
zKvh_99@gN5sIcNZtOaJO1@2{3+5m16fQl;cxmciF2@+vc7nr~p#R5A13d~j8ATWVZ
zi%}dh=gPn+CctQ(z@h-<Iw%M*S}HIxhC}&`k^+nljEXD?Fuv3U0Y+y=2__~^P*tqd
zyO!w-hon~TS|-0G8$)@zO}4d_s9c(+Jn`6<I7KV%18#K;{yEFf@;W#%#Hm>ONq1B;
zUfRJtS@dt|ZQeY6rmf$PB}zuNF#mB4_XkyDsee^+{8$$LQ<F({wOW!S`I%Lh_b&Hi
z57zwt>^G8~acsX{7`3a(zh*zOQqxWJQFMLr3M=j{MjVn_e7hyDYp}`odfZgo#lt@N
zvZld?b{<7m|9I|mIh=dWMjn)0*vnRNOKiPbY$n&sUd^fD7s|pHXmM~)km8PBp*&xb
zC5wk|p)<JF=2qDf1PZGNWs})^m`+XExKirZGRGOu{};L(Z0FTZabI%!&q9xb)8-`4
z`7*<Wd(TC_SyE=3B?DHoC2w6Fr`A=(KHETZbHjd?Wo?G(+`6y%do~9uNh<ASbGS57
zM@>19or_CzspgHTS0)HCaEs3rP@Ql<LefNpht27LGANvlb&M~9>{pXEsjxQMvfbO#
zU0KjX$IjVn-+JEp!LuG@vFNEuX7jDr6q-2QZi{ljY@S^*JoAEucUo{xlw8^&V%?>^
z8FW0@Dl4^x%9BkkdU&=+i|XyF+95edUS#!8<u1^|M2%JI9oj70y_fRzoe|r2vZzr~
zu3x0-;}#Ckd6m)4>Kpe=IpRErhbKmS`oX8nlDW%7cBiRSgU+iA-lcA=v-ivuIiC7b
ziFIM61(K=rMJ&JZ7K6^KJg!^K$+1-K?MKdA)3u78yf1z|ai@q6Pjw{gqiOSUEDy^r
zT+LRqNbTIZw8t5bdG@X2*!Jr7%H-*?eDB!0f2eI@Tr6TUpXYZr=bL>cZ>F4;EplY@
z<5zoD`|M)cX`T>0Zs{lD&VE+%*KBwc&a6EMs%su{34-Qb82C<FeUrS^V!imtHrJ#+
z9+^762@f`MAKfUM+`<+er?w-9@rcw^o{1;<y=F+Zxn#>$=&-%HuXdokr})5I9!nR2
z(@so!pI^x`nDclQa)Yx+Pr^Y^c4A;%V&Q#~f7Yi`4#R89DmW9F=UV;<`QXZ-dtI+u
z@U3;+R)_cZHoiN;aQ2vY$>&tNcRQ}K>^LlW!D`-i&YKT~ehYj%<Z1nI+0KOd_jryK
zvhuTtA371yBDMK0YxS*wm0S)yGiPx8m?*w)`ov->-Gv;JgpZnsuH?z%<!m`8UY@|u
zFLfk?lf8G=y+t-WLP6ZVmf|mEo*k14{Kg%TlIwjhndkOWzRRxS3|EUIr1<3d)_)Wf
z5ud{2dXwL(M|`Tc&PJ)9UHq5V-FV#jf@h6@z<MF^Q;Tk^NVQ%SFbmCW<LG>^_Lwtw
zchFw<m$zzlU07b-lKQ@W+25eU`VUwxcC~M;Kg)5-pY_<E2S@mAudzR^77922R%Mp7
z)$C=W;G#CB{A}H=pd@=VN+M8oZq$t$j*X$LHPL?i`LE@0g<KW#y%Tuaw}OA7yOf}(
z4b#1&=5Zj^Nv|ce?A8AU$lE@DUe8}%{;S5oc9-$mIF`pn(%Jf1Una+!>abLLv#)=B
zICxfBB1c$12iI4{2tjozcF$cR({=w}TeYatUh667IKKT+BIk`+rm2Xf9eB_A(VIg}
zor9@pwT57;5LdE+h<$&>509D(k!CRt_74JL3{N<P%h_Zs>m_DXaV=Z4l|%Rtf25+I
ztdN!`*W51ATMF7t+rD$eJmy|w>K!D~xkvWK1-b4Pd-nzV?*Dgq4=SO={)ogyvUK%|
zEem`q8s5#}qszbgij|Yl%-!sZ7mAurzGw4Nf@7T+cYD_5oww#4d?=$dCEiQ^q%H6I
zBTA1C_p2)Zx~L{%5Zotcc6!6It(BmeV&}=CoNHMA-w^-LR-9mQiDTz(fq%Pmw+p|1
zC-?W8#N}rjlVtvJ{I2A?Fu(V!(7OX%*Th5<pG@^+4_O@A<^gh3S%XNxe3pc_Vm$Fq
z|Fso4y1MvIuP|v5D!$Fmxn6Wq<)TUEf@h=47Vl89w**=EdbVi48q4$o@#+R+4udcb
z<KF^dn_t@sH@nGK7D!C)+xcwTK91l*{xH#}u|ipHT+`}AGZW-F*T<;dfA;`n;U_i`
zf$uCCnPP47ufDHc&GATy-(RofjZk$wd-Hoyt3nkChoFw?AnvF={F7o8xNq9ekvSW!
zcz=)MK||dhrH!{Ngq61G`KbLXyOzwKwMEnS_LW6@H$?|-WYOzoXgtLys~9MB*OA?2
zVX<PL)o1P&frw*zt@4L*0)!(Tvai3IQe-jR_to~&LaimfH(K2iB)7%CTJEZ-Gg~t-
zmS=L!a$k|d4@DE-U5r0@Rh8q`G~FdDSNPU?PW$L_Ogg%Cw!pNSsC}!?nnf4xPZC;v
zR8)QDS~(B-r8+a-gw42d+57*(h)>6T<>TsK?Kx++Nl!GRYcXF-h%it80gY{j&PLzk
zN*x^;ir?SX+U6*)BD39Rn!NF+E4Ho?F}wbLs;q7B{ByP?Pc>bC$I7okW#)xTJie9%
zR@YbcPful45{SBZee>BBlBaKMddn`E81eJyk@-i|!uQ6BL|n_Xs(zGiwanUbekbEc
zwF)IIPy>#IL3kRB2K8@2d{DgrS|Sd+BNVix40JafXbChj4a#QN)R#c-c7vG<qj8zP
zghcfZpz1*<B!b)nqj9+hB+h_zM`#E1F26ZYdOnnf`5Q(rf{Jf|(ol1#c1I}mjzaj7
zR&f7?f&4o{k3iiCb3e>H(9!|W9ds~p*qx)G4l*fsgo5@Ufx?^GcZ9M)+$}=rZqNd#
zI*30Q7$E*a+~vjwz6(@%7RX;V49r=e;Q`PRKNhe!?mI$3=75$QLgRN5Xp9#UUZ51q
zG!2yQ|Nj@51}fJ;OTa<qf|fFa#v4F)Jc9WwjEta7-pJ;FiZNt!K;3m@b3pn*=70tW
zK<0q?ER2i-kn}AAa}TKdjcg9+xLRa$K>h`p1L{75%mMRR7#TrJN0HqFTAGDy4k+Is
zn*$mn0+|Ck;S^*Jn9st<n1SXV5AfCzj%lzvR0F_#MEHQl96;vyfW<lVz<d@)#u;el
zfbQZzb`NN48?t*q;SMqfbXNz+JzzfQE><*iKue-P=8$?v=mltc0xj|R|DOYXN9Y%b
zxE>^(F){u?;)Cu8{e#3u+OjeYdY2~6?+8WDhoEsGP&h$p(2gC@qGU$!P!9v<UA<s+
zEQmWoLF&Ny1mZ6d&{A|zJizV<h27bC1af!nG*CK)gg0mjjxhX=AZU0^gWVAdyR-8R
zRG$PSJ%H7N>J3ot0@X9<`e1i<%0S|o=sQATcXq<+D`+~N2Fgbu`(WiEx_tqVErTMk
zdJCFv3Az(@rzWiaf~He~`aq}mf$}A+9s@0{1BEBdow&k<)^~)$?&1W6&%gg1(0CSr
zw+}$>1H~6C{+EN}Q+O6gJxH9;9igzhJ3;C}=0nm0ruh(Yj%hISVRv(a%4Lu~NO<AC
zBNTSmCaAmssfWZ1racgGggqd8LFyspB%)pcEx`ko`%9qd1eUHyy(1Ll9#H)WF<%gz
zPC(%XTCxr@A9nX7sJsWc1CkCg-3<}P?QVMA5egdO1(iEsnuQTw?${x1N&E9(cp7vG
z`83cpAjrR<9`)b<!r(j8LE!;P4<PY&R?wCQj%lk<(iLd=8b}4xB+wEOkUChq3ACjN
z#D{Io1`RBO+ykOPTLD43kTK{Sa8Nl1O~-KaVC^Qz)<ULfF!Mn5Dabq!jm<oeKSAcf
z?7+3v8+Ml{C|*J8VRwdt^nte8LEQu2ng9|9Vc1=tQ29yFEz9sb?m+Deko&+iQuu@7
z1GLrTHV<U0FNhDa7j)J*0|V1!P(J$oUl4p>1_J}rbV$2Icot}u<1hmwBba7koDN#z
z3rd$zd*OGGLbo7;ti^lhCG1X6nERo3hQi$snoI$?A50^;9~9om?g#OSaX)AZ2IPJ)
zjpTl)y&X`x{RcE3L*pY0w#65mp4gZ(!RY|Y7GKz%q>y1x>fK2SDtAEP0H%?`0epJ_
z12ZEm9zlFiIDm#Em>5{#@iW}+Bn3^9fx-h!BZUWKivc1$KzvYmfD$5dctDRT7_d7@
zK?8aq_k(F9_k%8gKu*^nKFIx`eg(4o-$9Nh7_d7@K@*N3_k(F9_k+?Mvim`Nko(cY
z|1H%0P`dpC^sZ4*e#2MZ5xSEUR8E211*VbQ1=(tXNEaYJ$X)2+3f{ucz&v1gl7c2>
zLGB0BNbU#SrGXr-AU??b=;8Vr8m>^fozy!?LG65Ocanm|k=z5in*+3U7BnFYI(h+i
zlm@h23O!nbLk}#^!pI1USCBf;RtV6RNSHbZpF<BUPsE+1pvh!V_(0lQ0vLCag5~kt
zNeXf|DBM7GCkPin+7lws@_O`6(qVolDX4u7TIvgGUxJqUj^0TMYCE&y+_DE6Hvxqg
zs6PdwVOzUEc?ZOYZ7l<>DFX39;-Dqfpm8G*A2hBD3g^<&5(d!L32g#PxIyCzAbp?}
zeE4XPJurEYeK7T-cajo&C#eZy?ImbX5;Tpj{BDPwuxYg6yB%_8`*u7oJR*DjBPgIj
z3xz;5%B7^Aa9m2t#|_^8SpZpz3B8n*58I`reAq4}<->6)DIeleQqY1=q)SObLk-|d
zNx|ZXOG!aPoZw4IL3y1KaVaTi$dZXs26AcW%m16NbsfI=>bLHuXHk!yU6d0zA9O_O
z_|(cGp&kAGyTp=nsx#agr~FBs*q_~Mbi_ncI{We&%cHj+PkWpcT_&ui<;p1eHO1o2
zJu8#EFVai3b8PKnn>b#{zw<7(mDqp!OU{#7drEBBKF?!}59Lm~__JqQcgF41Pi3`>
z=dJixv1LZt_S5HP9Gi4@LsU_Zr|3mL=FF>;H~8LK$EM^pF)r+ri@&T&&7=d9Q<$tg
z)=jt+u_jeaZ;SEay!08RYtCpytvln_`>@&n<0JW{3ZJV4T6WycP?Nh+%kruF%hsNi
zsi!_}=USX^-1+H1%h?mt1ZCsB?!R@|yVMHY*%B}SEeK_lWt@(_AV;6YX(^)?(-uKn
z##4;SPD>ayScA3*TCjp|;{;vZ$r`j-&=$f4UBAf^v_;U41un}1l7(>BFf!_ZWNjea
zwTz6~EJ0fZts&esjEq_!St|&4CHQulje_<du2SG8P>N#!^+UmB3#5YwzQ~hNje!x;
zt_0oW$*6sR1yZXsFfa-<Ffi&BFmb*CC+GJ&<o=0UYQNthm-|HadQJbH$84KSKh0S?
zvHaf!R{I=>>unWj99#c0SUl!w*wwRq+SV;0EOnO|7MVRO<P2<M3`v>qc0574uyt-s
z2cMSBbBA(P4&U=k8{P==IC<%RwQQNhQn-OBimg8FWS-!p)YU<=MRl#W?q+Z@PPSub
zsAG<O@JTwoDA``j>g4(<noMPkEcRmliTZMUN2*v=wn+G$&fdK>nJIrU+XC}_71oWs
zeckM9ch=1WCD&W+t3Zb>G3@-L*}SO9SW9nXs%#`P)AF?(>bH+<n9IiZ?jvgln?&=&
zUE*ukF+C~b6w;sadAc#L^Fr2e9`SOYpC@KSF>NvAl8~}o>|x2borhKAvV_x)mEDu_
znIsdrj|(j1OMk}i^pDl$kEDk$%WdCOrq^e9UYrm4V}6p)gPZl`8j0!d-^!A9G0EBS
z?syQ#Icp~ObVhaqiIoi?=dG671ey?GFm6^?+BIWMhYaIn$3`Y5p@pmECaR|wv-q!L
zp7Mvo%R!fYhm5t`5_Opk9-fBf`i5fqQr84c3Ihu&w?*eKC{43&VYPnDt|Gdn;j_2S
zi!%AO-*wFd5~KGoc2^QtPM>ey-(|BQ?}k5vy+Rtx-ThgIb@_I^np)Df=k%!=mqO?1
zGdoO_31kT@sJ`p<IW5o+6zA9V>?I~2)w7ql7_<A&$6HU?S3_Ewpz&}}`cMKhKr3=V
zBfX#%xu6=#0aRo$lXL|pXuyj3fEEL2Vu$4d<i_F$AY(u)azRBBgaf)<8C2jf3WDW8
z%W^@Ikb5v$7)2l&VO&w@@>TF{m@JIqs9XuCVo8WmQm9;MC>MM)CJUo1^g=^9h+=u@
zI#~q>7v)+^7Di>LV(=}Q;L9;Vp~48h9Fv7n4Jr%eszbTpD>A|Bb3qxDQ43<SHgs*M
z4v5RlsH?%js0VIzG3rBCW*R_b3^h0yjX={3ER4p`OYdNpVrp<Ont?htER5z1JfM*#
zMssj5Gg>h4fChpXEg)P=1|HBj4x=T6YsJ6=8XjS^f^e-FctE2AjMfmY4FeCTv(0D&
z;o36rfcmbCwh*oz1CI>oZW}uY*Pej~)B|I*hj1Mjct9N>Mh6Jjk%0%)uVHk8aGe==
zK;0Kc@J$UYjIInkpk4^08${Nffd@3W#^?dzdNS~U#vU2HAY5<gqGKNj*B83V*bl<>
zXW#*?FJ=q?ahVwdC51u7AQNK{<d(Z6!B^~Dhwlk|iF29e_VI#s)-2~m<))sYqKiCY
z({;Al`)*x-IrjL;rYrCM?Ns`CK}g9gcZZqsnH4__?-*a*_I37=^kdCSZ5aX&1)lr)
z+-tVcrPT=wkA9zJ*()^Z#YWS``p<rZGkui1{YI&O^5+MOEFE4<%s%$VXxbG+y>)li
z%W$qg%go^NOvvEop^cnR8Yf@A-<YxT$6?tEtS&oNG%l_ZJbJ{`;NM@~qyBa^Cj0qR
z7eyG<cYpU=*|>M9-s0z)feY`b_-t7gqh715I^k;Dsjv@^x3SNed1}hq;PdBo`I3Bo
zf39Znck}shOTF8`(s*UCsNd6wf>|1W1hc!VuIe~$Sr|7(diRGbY5uzl-<_Aeu&6=e
zr-wl{o3Ek<qiOE8JUyL#LWS&SRn9Iud+L$j|EF2!qt5ppxG2!M?{lD~r6lW@7F%=P
zV#icVlZaD{8O&VrQ3r*l`>fujIo(}-jrrMVVSe>qx4)Yr{@FiT@kT!Q%B@w0(vBT2
zo87x|>oURLk)Ix$3jNmXxtzO$uky*A8g-)(x7H2sGhW*-F_<|)ap$}bw!fyArsPH}
z=UtlRVkvfRj?#8ei37S#?gF^t4Q680L9cnW*+DB|S28MXVANs`+Qlen#k@(;33S~W
zm}d#)>4AB6%$rnJFe;s8WYS~|+|MLr!FY~IaTg<#YT!;rA(K;#OrYhMLZ&Ae6&Ero
z1#S~EJg&H$NhxqAlaS#lCdJ*1Oo7{ll$};EGO7h_5j1sL%&5&6v{}%K(P;^zO3)TT
zW2eQ63mKJywg?(JEmhpe7_>!D(P<&0YS2c(z@3bitP3?+omMji?O;;e0^zIzb2fuH
z?yH%@_b{t0M7}5u!dBj3!LdP;gIH3V)oDGG7IW}UaNvRW^MIF?g0d8-fxxH=x_irk
z1tbXO8&3cUSTk`h{Vf5i5N=$IGUXRA2)S@E%9O)8YW3x0_iQfCcG+BuHyQk{kB%?<
z_v0YLis`qCc_%JqaE)x4FH)Sqn8W@gr^d+0Lc;w@4M%Ax6IYmRmB?dj=D=lgvTtI~
zHEC3|a_m0O^5EC(Eh0S^nO88#wKsn>_t`W_lS7t~wO=4fNJMNOoBo3cMo?|Jnbi_}
z*;CJKgIk7DY=&!II5*yU=+bY{%BXYOcsDn%Hv9g)7sc+?c|YFqkZDUZhqRUYZdora
z&NF+IPrDih3p``8|H-vtl8k}OubG^u+m$wcof$HF4pUDc_hiX6%|d?{@oY4gl>8*5
zr(wdh{T8qCs?|G$+l4qK4J0RZENm0r&%~a|r!w&(2cNzu=Oix?MTJ?si+PwBg81VU
zEBX1C<nt&#5|di3wQq?zQ`IAZZ)%@P1;mf@NM(wzlF)6v*Uoh5sbFo4<6UtbAI?>`
zWY;Q(o0c^&Ma~yG_oXUKV$OfgwGZX4PyTQ8_ZQPEbK$S`r=If8KEip$#bpL#DDU%g
zOf~7w6{VgDP3ttC#4{JBiMXVFPg{}7x9JeGv$2HT-kdw<gqg1|5mom&aUh0`_upT(
zRrgq5f&wn7K^IgFFr4?&e4_fvRZH`lT<${_W`%2Ffys-_-xlz_oW}gQOv3t^ZO&~m
z=H{>BNs*JMym99Jy^Q%nxj5sOdHyeSm^X(>Bxf})Iq$@Ga0_#Bh=kF(b#GqEGcQb&
zbjZHGjUkcWsDs&gwxqG`o;#=HnCF&A^?Rsv8LZ<ou4WEgEg@jjaE{fMInP6Sf4gd>
z(mZZ~DmJ$T=h#8cyPdQ3J}Aw3d{&>eSN{6HC5&H=eJo)TJ$4~aQ{e#zt0LR$Y`$IQ
zS_RRedn^}EW{}wwZM%hmv39cLR`VOhyjzws={k$u-fg?-#y7?dU!_u`FYM#7E@ipx
zExOkv&_#3tW5P`7+-dQ7oM&rUr`<hp3uLFs9#`<1Tz?ta=e`Gz%86dR9d$r=W!`oh
zMvq{bi>5v9BHtgd3o-G((ZAGau!F64AJdBhj%Q+0A<yh`WiNWmR_sYSsp8C3u!<{h
zzvPX&k56TEj>|17K5epRCesgQ?nmnU;@|iRK{fUrMt$Kw&zU|w=XFgNNd2RIPPn5=
z_WerX33gYQF5l*hU&?*<?usjXyDza{*1EV@{sq%XN&fFGJbuS#rhr=QYa>is;-@hk
z{wol0gxBWmzQdp<1ze@z_ZAVq<FjkT+XLkVB|pq()nZDt5Gt7`TARQ8zl3X&yn*Vg
z*tOhDkCcSn&A1%jq@LkTiIZo0otw&d{QlWbvmZ|3H9kFkZ!Hhg(|8ffXB_Kaw{GH2
z+#nLlzNuO>k7<3C=+sT@$qSw3xR`513l2V$O!j7C))R~T!LgKA@e;S%E-{tGYi!QT
zGo4QnpC7`0{2+@6SJ4geyf%gm=1?Zi6B2)Ca6DoUDC4&HD{<)38Kt8?nX*|VZ^UtI
zOO*=dp0bjOZw6Q2a#JS1LsC69?0+1#v2#T{W;tP!;neh*Nos-gF-evHkt$#IdH$?z
zUy|lB+Q<99VEWGc`N}2BydMI`DzjOZ>N8k8VsBY>X0=;U!^RVZ8zUVB9|&1ZjOX3+
z#8`5PwnF-r?uKtG`}sv#7jwR!E|@CPu+(P0&Eew9PEjp2Ejk;N1n#e0VD(ABulwc&
z-lib$mX7MSebY5oye^S{JDu~w>u>sJ_evxL-ds2#P*Z7-s>d7M<yI5&v}0R3ww!H}
zlFuxO-Sm5v)6d@u5f|pX-P$o<(v4w4{Ng+7wKg5NZZOrs;%QrDjob--aq(>Hcb|na
z>pys&yJTNKZSRrQWpmoMF&z@uyu0<_LpM8io7sn6USZWT&7bthK_alVv(Qn&HT6W^
zHMSC_;P>Z#Ie+W=aqi88q)+lIpMNQlybw2g##Rean?RpmUwPD)&3tmvGQYQbZqw<5
z`KMklJXo}9&iS`1wp(rbF3=Xe(SCJ9{EF;?@64;uY*dxG>Bh9&NlD_VC6l+_CZR<g
zS0IxH(_TPnNK>C_8tAqk(4_GXs5qqVV1h5o1C0!T29ZJQwLy!9z<d_yvB3-s;2Z3H
z7?`s_ZG6yRF>G-d)O<!zYYa5_4em!XFijGGOcqXqEqVhr_(A4F={!&&0a^qEvd0I@
zVjHkJ7RX{7ki8J~^dmw3LG~xqUyPuhiU|WVBL`%W4ScZ~D0_nzqcAXlPd3C@{03gk
z#lQ&G4+>u}AGBx<a=xP`$Xw7QfCSi!OpKsH9;6Ob>VVGsMqiW$QU|h+5o#Z(5e!;<
z1e&}7O+E`k%mq&}fXo5&u`Ei1EQ$jyN`tr?vd99lC=Jvb0mU0=(hy`0n9st<2x@~j
zF@Sa=f+ouaz~|qB;uAE91X2f<$Fg`0biNeG-LP<iEDAx`2l6+_KG3z~AalTc7Rcl}
z$UcZT!amTTDo7ouO9Tq16KL^*Sab*RUjTTK1j0U0-w9+Nba99tn9st<2<k+E?1P9S
z?1L`8(*w)1Ff#r?vk$g7hJoQAXffHe1T_0V@dmOFvS^P(56ov_WCV4~K=whz5%xji
zlS2<I&%(&40bOhcO^=g6gUyiegxCifltxYmp#B}mKFIl@9C~0r3nL?_qX)7NB95>R
zG?)zvPp~`-BO_?>324z3%s$BC299ZrQ2(`{`47}%1lb2Ve+*;}n9st<2<rZU(q#jh
z`$6ZELEI0CAIPFYMErodoFMZ+=a+%Z1M^uJ8KH}d;rS0T*@j5RbI{xc>Z^jxflaP~
z`Jlz8Xzl@>_XKheEMI{J-;u);)X4>z1DR|?Oy<GD2ff?_^@2g_p)|bQ1En9(<Rz#9
z!@$4<KVKiLjs;TgsW32Sfz*M@Ar(k@Dgr5=1*X9k8L~hp>qH>w5W4sb6hELz4BU$h
z1)%z1i~d0Ng8U8g2NMHseKJseutk5+asj+33!gp>s6N=DKS(|%>`oJ?zAI4wLGmME
zeGX84ERgt}22DS3cY^#0S_B0yZW);1i!Xhk`b?nupy`F6z6hwk6sSIE`T#GY1ceJO
z`^Z_GD8K+YH5s%>3{+l#{E-5&AG#=>1#(O}0~6TYAbFTS(P>C|#R=7iIENWzE-2kY
z!cAZrgb&JJAQPD2;R)j5Gv60{PA+7zBgnm=`#C`BbIEisq`bp)FSHz+28l0$X%Ifb
zy%2E(AD6pf?rng$4^;gyfZPqb{{!UiGKe@dyl{szq@0AEn=LR6k{%F?BVqP;K*NU_
zyx3kCzIYmz&ov<8;Kli%a^T<p|NlAIn7hD>=2)5AVC^qx`kM3!+^}Ps)&q4XXc7?Q
zZrI{HP&o`Lk3clG#d)B524oM|d^YAT(7+^UvNAa*KbeJrjU}5QKbe?wO+oD;&}1a2
zT?3*)?HCXZ@;5RKaxRR|1U)|t#0O1Wf$W8yKgp0-k_bBQiqLtg65xqB!sobx#%DqH
zfZPMJ7t|92@nQZgN-0VO`L~dvD3uuhg4$6a{h;y?M1$H<AR1&oGEK<8#mPBEAbS~-
zbBc)ZFQ`2QG9Of)f@n~C3PclYFU-H?MWp!`)UE>A11gU}G^kw#qDi$kHManKu4pAg
zK?yP83@Wcc_JGPG5DjWyfoNjwg{3R#xkM}sr8zmogfpnz0oem8S3oqVodu$awHKN{
znL+1x6XQ=%`2jK?)Q$qtpmr9BMz;@FI)?Pqn3#)8lfdWYm1jcY*MP|M3~HBw>;skm
zAR5#z1JUUA<4VuC>^CIReo%W3WFM&92GOAQ9EhgWej_672eku1_JPW65DjVvf@pO6
z(Ze5>A3^;sQ2AAyoR$g7&uRJb;PNv+w*Y*8XkKa_INdX(=7G=CCA0_xR3Cus2bJge
zXqfvz>Okchhz6-gUjzbb$BHtrGX*m+FeosvgOevnU2voyXi*9W^t?q-G0woqz{Zi2
znFlHlIpX6jjaZP*^Ma-?4ruz~0F`@83~U_Ge9Zy6UjoEO(r=7UzagmC1Ue@S?c6XV
z{U-SIgC@a2_CfO*hlPT1nx#UuiGp#OkwSHff{9V8LUpx5iJ=+j_$?y?@TpstMzysH
nMrLqHqco7Du_Z(jbRw5=DqPaU93*LK2$4iuGV%iy_C#R-bVvc$

literal 0
HcmV?d00001

diff --git a/work/_vmake b/work/_vmake
new file mode 100644
index 0000000..37aa36a
--- /dev/null
+++ b/work/_vmake
@@ -0,0 +1,4 @@
+m255
+K4
+z0
+cModel Technology
diff --git a/fifo.vhd b/work/fifo.vhd
similarity index 100%
rename from fifo.vhd
rename to work/fifo.vhd
-- 
GitLab